• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 87건

adder IS PORT ( a, b, c : IN BIT ; s, cout : OUT BIT ); END adder; ARCHITECTURE Behave OF adder IS BEGIN s <= (NOT a AND((NOT b AND c)OR(b AND NOT c)))OR(a AND NOT(((NOT b AND c)OR(b AND NOT c)))); cout <= (a AND b)OR(b AND c)OR(a AND c); END Behave; Project #
  • 페이지 4페이지
  • 가격 800원
  • 등록일 2010.01.24
  • 파일종류 워드(doc)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
Adder of 4-bits 9 5.3 Multiplexer 9 5.4 Simulation of CSA (Carry Select Adder) 10 6. An Analysis of CSA using MAX+plus II 11 6.1 Simulation with Wavefirn Editor 11 6.2 Timing Analyzer, Delay Matrix 13 7. VHDL with Xilinx ISE 6 Project Navigator 14 Ap
  • 페이지 21페이지
  • 가격 3,000원
  • 등록일 2011.05.17
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
B1, B2, B3 3개의 Binary code input을 G1, G2, G3 3개의 Output이 나오도록 설계한다. 이때 3개의 값은 Gray code Output이다. POS, SOP를 이용하여 설계하여보고, Karnough map을 이용하여 최적의 Logic Network를 구현한다. 그리고 이 설계를 이용하여 VHDL로 Coding하고 결
  • 페이지 9페이지
  • 가격 1,000원
  • 등록일 2010.01.24
  • 파일종류 워드(doc)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
adders. Also, apply combinations that check the carry chain connections between all full adders by demonstrating that a 0 and a 1 can be propagated from C0 to C4. Sol> A 0 1 0 1 0 1 0 1 B 0 1 0 1 C0 S 0 1 2 1 2 3 [M.Morris MANO] 디지털 논리와 컴퓨터 설계 5장 연습문제 Logic and computer desi
  • 페이지 4페이지
  • 가격 1,000원
  • 등록일 2007.07.02
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
Full Adder library ieee; use ieee.std_logic_1164.all; entity full_adder is port(a, b, cin : in std_logic; sum, cout : out std_logic); end full_adder; architecture behav of full_adder is begin process(a, b, cin) begin sum <= a xor b xor cin; cout <= (a and b) or (a and cin) or (b and cin); end
  • 페이지 13페이지
  • 가격 1,000원
  • 등록일 2007.01.21
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 1건

Digital Economy I. 서 론 제 1 절 문제의 제기 제 2 절 연구의 목적 및 구성 II. 전통산업의 IT화와 e-Transformation 제 1 절 새로운 경영 패러다임 제 2 절 전통산업의 IT화 제 3 절 전통기업의 e-Transformation 제 4 절 디지털 비즈니
  • 페이지 23페이지
  • 가격 3,800원
  • 발행일 2005.10.29
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 102건

common alternating current systems. The following figure shows a typical block diagram of a monopole system. Explain main function of the rectifier and the inverter and design a simple circuit of the rectifier and inverter. Also, describe a possible problem of using rectifier / inverter and the so
  • 가격 9,500원
  • 등록일 2015.10.08
  • 파일종류 한글(hwp)
  • 직종구분 기타
Full Custom Logic Design은 차세대 반도체 기술의 핵심 요소로 자리잡고 있습니다. 이러한 변화에 기여하고, 회사와 함께 성장하고 싶은 강한 열망을 가지고 있습니다. 대학교 시절 수업과 프로젝트를 통해 회로설계에 대한 체계적인 지식을 쌓았습
  • 가격 3,000원
  • 등록일 2025.06.05
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
Logic(Digital) Design 분야에 지원하게 된 계기와 관련 경험을 구체적으로 기술하세요. 2. 디지털 설계 분야에서 본인이 갖춘 강점과 이를 통해 회사에 기여할 수 있는 바를 서술하세요. 3. 팀 프로젝트 또는 협업 경험 중 어려웠던 점과 이를 해
  • 가격 3,000원
  • 등록일 2025.05.08
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
된다는 점을 항상 명심하고 임할 것입니다. 향후 몇 년 간의 목표는 회로 설계 전문가로서 인정받는 것입니다. 이를 위해 다양한 설계 도구와 기술을 익히고, 설계 프로세스에 대한 이해를 깊이 있는 수준으로 발전시키고자 합니다. DB하이텍
  • 가격 3,000원
  • 등록일 2025.05.29
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
기술적 지식뿐만 아니라, 고객의 비즈니스 모델 및 시장 트렌드에 대한 이해도를 높여 고객 맞춤형 솔루션을 제안할 것입니다. 회사의 목표와 비전에 대한 공감대를 형성하고, 동료들과 협력하여 시너지를 극대화할 수 있도록 노력하겠습니
  • 가격 3,000원
  • 등록일 2025.05.29
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직

파워포인트배경 6건

가격 : 20,020원 (-4,620원)
할인가 : 15,400원(10페이지)
가격 : 20,020원 (-4,620원)
할인가 : 15,400원(10페이지)
가격 : 20,020원 (-4,620원)
할인가 : 15,400원(10페이지)
가격 : 20,020원 (-4,620원)
할인가 : 15,400원(11페이지)
가격 : 20,020원 (-4,620원)
할인가 : 15,400원(11페이지)
가격 : 20,020원 (-4,620원)
할인가 : 15,400원(11페이지)
top