• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 6,546건

습니다. 구멍 : 프로펠러로 흡입된 공기가 외부로 배출되는 구멍입니다. 배출되는 바람이 방향제 를 통과하면서 향기를 퍼뜨리는 방식입니다. LED : 모터가 작동하면서 프로펠러가 돌아가면 붉은색 LED에 불이 들어와 작동중이라 는 표시를
  • 페이지 5페이지
  • 가격 2,300원
  • 등록일 2014.03.26
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
파수 f : 12.5 kHz PWM 제어 회로도 Simulation 파형 주파수는 1/f 이고, 스위칭 주파수가 12.5kHz 이므로 출력파형의 주기는 대략 80ms 가 나와야 한다. 회로 simulation 결과 주기가 대략 80ms 임을 관측할 수 있다. (2) PWM 제어 회로와 Buck Converter 회로를 이용
  • 페이지 4페이지
  • 가격 1,300원
  • 등록일 2014.04.15
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
n for the Unit Under Test (UUT) --테스트벤치에서 실험하게 될 구조체 bit4_add_sub을 정의한다. COMPONENT bit4_add_sub PORT( x : IN std_logic_vector(3 downto 0); y : IN std_logic_vector(3 downto 0); ci : IN std_logic; m : IN std_logic; s : OUT std_logic_vector(3 downto 0); co : OUT std_logic ); END CO
  • 페이지 9페이지
  • 가격 1,000원
  • 등록일 2014.06.23
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
en X\"17\" => lcd_db <=\"00100000\"; when X\"18\" => lcd_db <=\"00100000\"; when X\"19\" => lcd_db <=\"00100000\"; when X\"1A\" => lcd_db <=\"00100000\"; when X\"1B\" => lcd_db <=\"00100000\"; when X\"1C\" => lcd_db <=\"00100000\"; when X\"1D\" => lcd_db <=\
  • 페이지 27페이지
  • 가격 2,000원
  • 등록일 2014.06.23
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
1 1 0100 0 0101 0 0110 1 0111 0 1000 0 1001 1 1010 X 1011 X 1100 X 1101 X 1110 X 1111 X BA DC 00 01 11 10 00 0 0 1 0 01 0 0 0 1 11 X X X X 10 0 1 X X 그림 8-6 3으로 나누어 떨어지는 BCD수에 대한 Karnaugh맵 맵으로부터 읽은 최소 SOP : X=AD+ABC+ABC AD ABC ABC 회로도 표 8-5의 실험결과 3 =
  • 페이지 7페이지
  • 가격 1,900원
  • 등록일 2011.12.16
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
e_m > 59){ time_m =0; } timer0Cnt = 0; } sei(); } 타이머 0 오버플로우가 발생되면 이 루틴이 실행된다. 모든 인터럽트를 허용한 뒤에 오버플로우를 80까지 카운트하고 0.011초로 세팅한다. 타이머0에서 카운터를 하나씩 늘려가면서 오버플로우가 90일 때
  • 페이지 3페이지
  • 가격 2,000원
  • 등록일 2015.06.28
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
음은 \"101\"이 되고, 이 상태 다음은 \"100\"이 되고, 다시 \"000\"이 된다. 이것이 계속 반복 된다. 5. Discuss how you test it. \'RoV-Lab 3000\'이라는 Kit를 이용해서 test 했다. 일단 초기화를 시켜서 state를 \"000\"으로 만든다. 우선 모드를 \'1\'로 만들어서 \'Bi
  • 페이지 13페이지
  • 가격 1,500원
  • 등록일 2014.06.23
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
logic; G, P, Sum : out std_logic); end component; component Lookahead_carry_generator port(G, P : in std_logic_vector (3 downto 0); Ci : in std_logic; m : in std_logic; C : out std_logic_vector (4 downto 1); PG, GG : out std_logic); end component; begin B_sig(0) <= B(0) Xor m; B_sig(1) <= B(1)
  • 페이지 17페이지
  • 가격 1,500원
  • 등록일 2014.06.23
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
다. 평가 및 복습 문제 : 1. a. 비동기 입력과 동기 입력의 차이점은? 동기입력은 클럭의 에지트리거 에서만 데이터가 입력되어 플립플롭의 상태를 바꿀 수 있고 비동기입력은 클럭에 관계 없이 데이터가 입력이 되어 플립플롭의 상태를 바
  • 페이지 4페이지
  • 가격 1,900원
  • 등록일 2011.12.16
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
, K가 1이면 reset이므로 J의 input은 “don\'t care”로 처리한다. 이 1에서 변하지 않을 때는 J의 input은 “don\'t care”, K는 1이다. Step 4:Karnaugh Maps 이제 Next-State Table과 Flip-Flop Transition Table을 이용해 Karnaugh Maps을 그릴 수 있다. Karnaugh Maps을 그릴 때, 빨
  • 페이지 8페이지
  • 가격 3,000원
  • 등록일 2015.06.28
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
top