• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 6,127건

회로를 개폐하는 역할 3-상태 버스 버퍼 - 3-상태(Tri-State) 버퍼 . 3가지의 상태 . 0의 상태, 1의상태, 고저항 상태(high-impedance state) ;* 고저항 상태: 개회로와 같은 상태로서 출력이 차단되는 상태 - 3-상태 버퍼 게이트에 대한 그림 기호 . 제어입
  • 페이지 4페이지
  • 가격 800원
  • 등록일 2001.06.07
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
 실험8 논리회로 간소화 실험 목표 사용 부품 이론 요약 실험순서 실험8보고서 실험목표: 결과 및 결론: 평가 및 복습문제 ▶고찰
  • 페이지 15페이지
  • 가격 1,500원
  • 등록일 2015.04.11
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
실험은 대부분 만족스러웠지만 브레드보드에 회로를 구성할 때 배선이나 선 색에 따른 시각적 모습 등 바쁜 마음에 완성도가 아직 많이 부족해서 더 노력해야할 것 같다. 디지털 공학 실험 8장 순차논리회로 설계 및 구현(2) 결 과 보 고
  • 페이지 3페이지
  • 가격 2,300원
  • 등록일 2014.03.16
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
결정하는 회로를 Flip Flop 이라 한다. 1.논리게이트 (1)논리게이트 개요 (2)논리게이트 종류 2.쿨럭 파형의 정의 3.flip flop(플립플롭)의 정의 - 종류 및 설명 - JK7476 플립플롭 과 7400 플립플롭 4.실험내용 5.고찰 6.참고문헌
  • 페이지 15페이지
  • 가격 2,000원
  • 등록일 2010.04.17
  • 파일종류 워드(doc)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
실험 목적 2 이 론  1) 디지털 오실로스코프의 작동원리  2) 각각의 저항에서 부분전압 계산법  3) RC 회로  4) CR 회로 3 오실로스코프 단자 및 스위치 기능 4 저항계산  1) 전압측정 및 분석  2) 오차해석 5 RC 및 CR 그래프 및
  • 페이지 10페이지
  • 가격 1,300원
  • 등록일 2013.01.10
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
회로도 및 결과 실험1) 4-to-1 MUX를 구성하고, S1과 S0의 입력신호에 따른 출력 Y를 작성하시오. 회로도 시뮬레이션 결과 논리상태 작성표 (Pspice 시뮬 결과 10us까지) Input Output(Y) D3 D2 D1 D0 S1S0-00 S1S0-01 S1S0=10 S1S0=11 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 0 0 0 1 0 0 1 0
  • 페이지 19페이지
  • 가격 3,000원
  • 등록일 2023.09.22
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
따라 조금씩 부식이 일어날 수도 있기 때문에 내가 사용했던 브래드 보드 역시 이론에 가까운 완벽한 상태가 아니었을 가능성이 크기 때문에, 오차가 발생했을 가능성 또한 크다고 생각한다. 1. 회로도 2. 이론값 3. 실험결과 4. 결과분석
  • 페이지 15페이지
  • 가격 3,000원
  • 등록일 2023.09.22
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
회로도 및 결과 실험 1) NE555 응용회로의 동작 이해 ① NE555 Timer 회로를 구성하시오. ② 555 Timer의 출력에서 나오는 구형파의 주파수를 측정하시오. ※ 주파수 구하는 공식 ※ R1 = 5K옴, R2 = 5K옴, C1 = 10uF 일 때 f = 9.6Hz ③ 555 Timer의 출력에서 나오는
  • 페이지 9페이지
  • 가격 3,000원
  • 등록일 2023.09.22
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
따라 조금씩 부식이 일어날 수도 있기 때문에 내가 사용했던 브래드 보드 역시 이론에 가까운 완벽한 상태가 아니었을 가능성이 크기 때문에, 오차가 발생했을 가능성 또한 크다고 생각한다. 1. 회로도 2. 이론값 3. 실험결과 4. 결과분석
  • 페이지 5페이지
  • 가격 3,000원
  • 등록일 2023.09.22
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
74LS192, 74LS47, 74LS10) AA Size 건전지x4ea용 건전지홀더 전선 와이어스트립퍼 납땜인두 1. 제작동기 2. 사용부품및 공구 3. 사용IC Data Sheet 4. 작품의 동작 5. 회로도 구성 6. 작품의 구성(브레드보드) 7. 작품의 구성(만능기판)
  • 페이지 11페이지
  • 가격 3,000원
  • 등록일 2009.05.25
  • 파일종류 피피티(ppt)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
top