• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

논문 141건

일반인의 이해를 높일 필요가 있다. 이상으로 한국형 스마트 그리드 구축을 위한 정책방향에 관한 연구결과를 정리하였다. 본 연구는 현 전력산업단계에서 스마트 그리드가 구축되기 위해서 필요한 가장 핵심사항을 제시한 것으로 향후 스마
  • 페이지 30페이지
  • 가격 3,500원
  • 발행일 2011.12.23
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
정리(가나다순...) Ⅰ. 서론………………………………………………………………………………8 1. 연구의 배경 및 목적…………………………………………………………8 Ⅱ. 세계 해운항만 환경변화 ………………………………………
  • 페이지 58페이지
  • 가격 5,000원
  • 발행일 2012.01.03
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
정리 1.1. 전기요금의 적정성 – 타 국가와의 비교를 통하여 1.2. 원가회수율을 바탕으로 바라본 교차보조 문제 1.3. 한국의 낮은 전기요금 실태 2. 한전적자와 연료비 연동제 2.1. 한국전력공사의 적자문제 2.2. 연료비연동제란 무엇인가
  • 페이지 14페이지
  • 가격 2,300원
  • 발행일 2012.07.15
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
정리 인터넷의 보급과 함께 사이버모욕행위도 이에 발맞추어 증가하였다. 이러한 사이버모욕행위에 대한 처벌법규로는 현재 형법상 모욕죄가 있는데, 이에 대해 가중처벌규정으로 친고죄가 아닌 반의사불벌죄형태의 사이버모욕죄의 신설움
  • 페이지 26페이지
  • 가격 5,500원
  • 발행일 2010.05.03
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
일반적인 개인특성 지향적이었다면 현재의 근무성적평정은 동태적이며 미래지향적인 직무와 능력발전 지향적 추세로서, 복잡하고 다양한 정부조직 내·외의 환경 속에서 정부조직의 생산성과 경쟁력을 향상시키기 위해서 조직구성원의 능
  • 페이지 10페이지
  • 가격 4,000원
  • 발행일 2013.12.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
정리 할 수 있다 첫째, 공동주택 관련법 재정비 둘째, 관리업체의 자질 향상과 전문화 및 대형화 셋째, 공동주택을 조합 및 법인화 하여 관리주체들간의 영역을 명확히 하고 책임과 권한 부여 넷째, 공동주택관리를 지원하는 전문기관 설립 (
  • 페이지 74페이지
  • 가격 3,000원
  • 발행일 2014.04.10
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
사 화학요법 조직 소견상 융모상피암으로 진단되었을 때 전이 병소가 발견되었을 때 기태제거 후 추적검사에서 β-hCG수치가 상승되었을 때 ③ 추후관리 : 기태 제거 후 융모상피암으로 이행될 위험 있음 6) 예후 ① 80%는 기태 제거 후 자연치유
  • 페이지 7페이지
  • 가격 800원
  • 발행일 2016.05.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
일반인 중에는 미성년자가 있다. ☆선량한도(Dose limit) : 공중의 개인 및 집단(비방사선 종사자)에 대한 제한치(신체나 유전에 대해 영향이 없을 정도)의 최대 허용선량 ∮허용 선량의 권고값(종사자의 경우) 1)제1group : 생식선, 적색골수 및 전
  • 페이지 66페이지
  • 가격 3,000원
  • 발행일 2010.02.09
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
 <목차> Ⅰ. 서론 1. 연구의 동기와 그 의의와 목적. 2. 연구의 범위와 방법. Ⅱ. 본론 1. 신유의 용어와 어원 연구. 2. 신유의 정의. 1) 협의적 의미의 신유. 2) 광의적 의미의 신유. 3. 신학적 분석. 1)
  • 페이지 24페이지
  • 가격 3,000원
  • 발행일 2011.12.23
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
일반적인 두 가지 types ① AI의 생성이 종 특이성에 의해 이루어지는 경우 ex) homoserine lactones라 알려진 polypeptides가 바로 그러한 type이다. ② AI-2와 같은 종간의 crosstalk가 가능한 경우 : AI-2는 S-adenosylmethionine synthetase(Met K), methyltransferase, S-aden
  • 페이지 28페이지
  • 가격 5,000원
  • 발행일 2010.04.19
  • 파일종류 아크로벳(pdf)
  • 발행기관
  • 저자
이전 6 7 8 9 10 11 12 13 14 15 다음
top