|
험을 하였고, TIMER를 바꿔 LED의 동작을 여러 형태로 바꾸어 프로그램만 만들어 실험하였습니다. 이 레포트를 쓰고 나서 TMR0와 Pre-scaler가 무엇인지 알게 되었으며, TIMER0의 여러 프로그램을 동작 시켜보면서 변수를 선언 하지 않고 동작 시켰을
|
- 페이지 15페이지
- 가격 1,000원
- 등록일 2021.02.09
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
정보계열
이름 백 * *
교수명 강 * *
작성일 04.14.화
목 차
1. CPU의 외부 구조 설명
● 컴퓨터 시스템의 구조
-microcomputer 내부에는 Arithmetic Logic Unit과 Control Unit, MEMORY 시스템(RAM과 ROM), I/O PORT가 BUS 시스템을 통해 이루어져 있으며, CPU(Centerl Processing
|
- 페이지 9페이지
- 가격 1,000원
- 등록일 2021.02.09
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
001110
STORE 14
100
불러온 데이터 \"A\"를 13번지에 기억
001
0
001101
LOAD 13
101
\"A\"를 14번지의 수와 덧셈
011
0
001110
ADD 14
110
15번지에 결과 값 \"A\"를 기억
010
0
001111
STORE 15
111
계산 종료
111
0
000000
HALT
-Machine code : 명령어를 2진수로 바꿔 표현 한다.
-Assembl
|
- 페이지 9페이지
- 가격 1,000원
- 등록일 2021.02.09
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
mode
소비전력이 중간쯤 되는 일반적인 타입
INTOSC mode
내부에서 “IRCF”를 조정하여 8MHz~125KHz를 이용하는 것이다.
LFINTOSC
내부에서 “IRCF”를 조정하여 이용하지만 31KHz의
주파수만을 이용하는 것이다.(주로 watchdog 사용 시에 이용.)
1. Explain th
|
- 페이지 8페이지
- 가격 1,000원
- 등록일 2021.02.09
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
한 편에 속하는 대부분의 실험에는 무언가 석연치 않은 상태로 조금은 억지스럽게 결과를 도출해 낼 때가 많은데, 이번 실험은 그렇지가 않았다. 파형이나 수치적인 실습이 아니라 동작을 확인하는 실습이어서 그런 부분도 있을 것이다. 하지
|
- 페이지 5페이지
- 가격 800원
- 등록일 2013.04.25
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
느낄 수 있었다.
좀 더 직접적으로 문제를 다뤄보면, Pspice를 사용해서 설계한 엠프는 1700배의 아주 큰 이득을 얻어낼 수 있도록 설계되었는데 이를 현실로 가져와 보니 실제로 우리의 실험 환경에서는 만들어 낼 수가 없는 엠프였다. 또 하나
|
- 페이지 5페이지
- 가격 800원
- 등록일 2013.04.25
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
7 downto 0);
end if;
end if;
end process;
end Behavioral;
(2)Booth 곱셈기
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_signed.ALL;
entity booth_multiplier is
--승수, 피승수 및 출력의 길이 저장
generic (m_plicand_width : integer :=8;
m_plier_width : integer :=8;
output_width : intege
|
- 페이지 19페이지
- 가격 1,500원
- 등록일 2014.06.23
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
n for the Unit Under Test (UUT)
--테스트벤치에서 실험하게 될 구조체 bit4_add_sub을 정의한다.
COMPONENT bit4_add_sub
PORT(
x : IN std_logic_vector(3 downto 0);
y : IN std_logic_vector(3 downto 0);
ci : IN std_logic;
m : IN std_logic;
s : OUT std_logic_vector(3 downto 0);
co : OUT std_logic
);
END CO
|
- 페이지 9페이지
- 가격 1,000원
- 등록일 2014.06.23
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
험에서는 시뮬레이션으로 확인하는 것이 아니고 KIT를 사용하여 확인한다.
KIT 동작을 위한 UCF 파일 코드는 다음과 같다.
NET \"LCD_A[1]\" LOC = P51;
NET \"LCD_A[0]\" LOC = P50;
NET \"LCD_D[7]\" LOC = P67;
NET \"LCD_D[6]\" LOC = P65;
NET \"LCD_D[5]\" LOC = P64;
NET \"LCD_D[4]\" LOC
|
- 페이지 27페이지
- 가격 2,000원
- 등록일 2014.06.23
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
1
1
0100
0
0101
0
0110
1
0111
0
1000
0
1001
1
1010
X
1011
X
1100
X
1101
X
1110
X
1111
X
BA
DC
00
01
11
10
00
0
0
1
0
01
0
0
0
1
11
X
X
X
X
10
0
1
X
X
그림 8-6 3으로 나누어 떨어지는 BCD수에 대한 Karnaugh맵
맵으로부터 읽은 최소 SOP : X=AD+ABC+ABC
AD
ABC
ABC
회로도
표 8-5의 실험결과
3 =
|
- 페이지 7페이지
- 가격 1,900원
- 등록일 2011.12.16
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|