• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 3,307건

s that are a good test for the priority function it performs. library IEEE; use IEEE.std_logc_1164.all; entity priority is port(D: in std_logic_vector(3 downto 0); A : out std_logic_vector(1 downto 0); V : out std_logic); end priority; architecture structural of priority is begin V <= '0' when D=
  • 페이지 5페이지
  • 가격 800원
  • 등록일 2007.07.02
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
between all full adders by demonstrating that a 0 and a 1 can be propagated from C0 to C4. Sol> A 0 1 0 1 0 1 0 1 B 0 1 0 1 C0 S 0 1 2 1 2 3 [M.Morris MANO] 디지털 논리와 컴퓨터 설계 5장 연습문제 Logic and computer design fundamentals 5단원 2 6 10 14 18 22 26 번 연습문제입니다
  • 페이지 4페이지
  • 가격 1,000원
  • 등록일 2007.07.02
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
_logic; Q : out std_logic ); end component; signal D_in, c, Q_out : std_logic_vector (3 downto 0) begin C(0) <= EN; C(1) <= C(0) and Q_out(0); C(2) <= C(1) and Q_out(1); C(3) <= C(2) and Q_out(2); CO <= C(3) and Q_out(3); D_in(0) <= C(0) xor Q_out(0); D_in(1) <= C(1) xor Q_out(1
  • 페이지 4페이지
  • 가격 1,000원
  • 등록일 2007.07.02
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
y; reg Y; alwas @(s or D) begin case(S) 2'b00 : Y <=D[0]; 2'b01 : Y <=D[1]; 2'b10 : Y <=D[2]; 2'b11 : Y <=D[3]; endcase; end endmodule [M.Morris MANO] 디지털 논리와 컴퓨터 설계 6장 연습문제 Logic and computer design fundamentals 6단원 2번 8번 14번 20번 26번 32번 38번
  • 페이지 4페이지
  • 가격 1,000원
  • 등록일 2007.07.02
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
[A+보장]한양대에리카A+맞은 레포트,논리회로설계및실험 목차 I.Chapter 1. 실험 목적 II.Chapter 2. 관련 이론 1. Half Adder(반가산기) 2. Full Adder(전가산기) III.Chapter 3. 실험 결과 I.Chapter 1. 실험 목적 실험 목적은 논리 회로 설계 및
  • 페이지 8페이지
  • 가격 3,000원
  • 등록일 2024.10.31
  • 파일종류 워드(doc)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 15건

탕으로 두 입력 신호를 논리‘0’으로 리셋 시키는 구조를 사용함으로써 Up과 Down신호가 충분한 시간동안 논리‘1’의 값을 유지할 수 있도록 설계하였고 이에 따라 위상주파수 검출기가 NAND 게이트의 문턱전압에 상관없이 동작하게 함으로써
  • 페이지 28페이지
  • 가격 3,000원
  • 발행일 2010.02.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
한양대 환경대학원, 2002 양균욱, 민속마을 관광자원의 방문 동기에 관한 연구 : 양동민속마을을 중심으로, 대구대 교육대학원, 2002 이종훈, 양동부락의 공간형성과 특성에 관한 연구, 서울대 환경대학원, 1988 이진교, 하회마을의 관광지화와 행
  • 페이지 29페이지
  • 가격 5,500원
  • 발행일 2012.04.17
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
한양대 지방자치대학원. 이재완. 지방자치단체 사회복지지출 수준의 결정요인 분석, 출판지 : 한국사회복지정책학회. 이은영. 지방자치제도가 지방자치단체의 사회복지예산에 미친 영향에 관한 연구, 출판지 : 가톨릭대 사회복지대학원. 
  • 페이지 27페이지
  • 가격 3,000원
  • 발행일 2012.06.07
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
한양대환경대학원, 2000 우상현, 「생태마을 조성방안 연구」, 충북대학교, 2004 유혜성, 「한국형 생태도시 개발방안에 관한 연구」, 경희대대학원, 2002 Ⅰ. 서론 1. 연구의 배경 및 목적 2. 연구의 방법 및 절차 Ⅱ. 이론적 배경 1. 생태도
  • 페이지 31페이지
  • 가격 4,000원
  • 발행일 2004.12.28
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
설계 및 분석방법 3. 분석방법 정립 4. 자료의 점검 Ⅳ. 지하철 이용자 서비스 특성분석 결과 1. 지하철 서비스의 특성 규명 2. IPA 분석을 이용한 서비스의 특성 비교분석 Ⅴ. 결론 1. 연구 결과의 요약 및 시사점 2. 연구의 한
  • 페이지 25페이지
  • 가격 3,000원
  • 발행일 2009.08.07
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 66건

설계하고 조립하여 완성된 로봇이 제대로 동작했을 때의 성취감, 대학교 3학년 논리회로설계실험 과목을 들었을 때 Rov-Lab 3000을 이용한 알람기능을 가진 디지털시계를 만들기 위해서 실험실에서 밤을 새며 알고리즘을 연구, 구현해 결국엔 원
  • 가격 3,000원
  • 등록일 2023.02.13
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
하고 싶습니다. 마지막으로 '설계논리와 이론' 과목은 디자인의 기초가 되는 이론을 탄탄하게 다지는 데 필수적이라고 생각합니다. 설계 과정에서 직면할 수 있는 다양한 문제를 해결하는 능력을 키우기 위한 이론적 배경을 갖추고 싶습니다.
  • 가격 3,000원
  • 등록일 2024.10.23
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
학교생활/사회생활/연수활동 등을 기술하시오 ]  인천 고교 졸업 후 한양대 무역 실무학과에 입학… [ GS건설의 지원동기 및 포부를 기술하시오 ]  GS건설은 1969년 창사 이래 플랜트, 발전, 환경,… [ 지원분야 면접 기출문제 ]
  • 가격 1,800원
  • 등록일 2012.09.21
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
한양대 건축학과를 졸업한 저는 건축설계… 5. 입사 후 포부 : 입사 후 10년 동안의 회사생활 시나이로와 그것을 추구하는 이유를 기술해주세요. 사람에겐 누구나 자신만의 독특한 향기가 있다고 합니다. 최고가 되기보다는… 면접 질문
  • 가격 1,800원
  • 등록일 2013.12.13
  • 파일종류 한글(hwp)
  • 직종구분 전문직
논리적 사고: 문제 해결과 창의적인 사고를 중요하게 여기며, 학문적 논문 작성 및 경험이 있습니다. 논리적이고 체계적인 사고를 기반으로 교육과 관련된 다양한 도전에 대처할 수 있는 능력을 갖추고 있습니다. 1.진학동기 2.학습목표
  • 가격 4,000원
  • 등록일 2024.01.19
  • 파일종류 한글(hwp)
  • 직종구분 기타
top