|
가진다. 7442는 BCD/10진 디코더 IC이다.
BCD/10진 디코더의 논리 회로 설계시 논리 회로는 10개의 출력을 가지므로 각각에 대해 하나의 부울 함수를 가지며, 이 부울 함수를 간소화시키기 위하여 10개의 카르노 맵이 필요하다. 그러나, 10개의 출력은
|
- 페이지 5페이지
- 가격 1,500원
- 등록일 2004.09.12
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
시켜야 하는데 이에 대표적인 예가 2진 코드화 10진수(BCD : Binary code decimal)이다. 즉, 10진수의 각 숫자를 2진수로 표현하는 것으로서, 대표적인 코드로 BCD 8421코드를 사용한다.
BCD 8421코드는 10진수의 0~9까지 사용하여 4bit 2진수로 구성된다. 즉,
|
- 페이지 4페이지
- 가격 1,200원
- 등록일 2009.06.10
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
BCD_TO_FDATA
entity BCD_to_Fdata is --BCD_to_Fdata 의 입출력을 설정
port ( Data_in : in std_logic_vector( 3 downto 0);
Data_out: out std_logic_vector ( 7 downto 0));
end BCD_to_Fdata;
architecture beha of BCD_to_Fdata is
begin
process(Data_in)
begin
if Data_in = 0 then -- 0 이 입력되면
Data_out <
|
- 페이지 13페이지
- 가격 2,000원
- 등록일 2009.05.04
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
BCD 카운터 설계(십단위)
①상태표
*0∼5 : ⇒ 3개의 Flip-Flop 사용
②진리표Q2
현 재 상 태
다 음 상 태
Q2
Q1
Q0
Q2
Q1
Q0
0
0
0
0
0
0
1
1
0
0
1
0
1
0
2
0
1
0
0
1
1
3
0
1
1
1
0
0
4
1
0
0
1
0
1
5
1
0
1
0
0
0
③부울식과 회로도
1
1
X
X
Q2 =
Q2(t+1) = Q1Q0 + Q2Q0
Q2 = 0 : J2 = Q1Q0
Q2 = 1
|
- 페이지 6페이지
- 가격 1,000원
- 등록일 2003.10.23
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
1111(15)과 BCD의 최고값 1001(9)의 차 ‘0110(6)’이기 때문이다.(15-9=6) 그러므로 10진 캐리와 올바른 BCD 합은 2진수에 6을 더해야만 한다.
|
- 페이지 1페이지
- 가격 500원
- 등록일 2007.04.03
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|