• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 72건

ripple carry adder)라고 한다. 4개의 전덧셈기로 4비트 리플캐리 덧셈기를 만들기 위한 연결을 보여준다. A의 피가수와 B의 가수는 오른쪽에서 왼쪽으로 오름차순으로 첨자에 의해 지정된다. 여기서 0은 최하위 비트를 나타낸다. 캐리는 전덧셈기를
  • 페이지 10페이지
  • 가격 1,300원
  • 등록일 2009.06.02
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
Ripple carry adder )의 수행시간은 O( n)이고, 캐리 예측가산기 (CLA : Carry look ahead adder )는 O( log n)이 된다. CLA는 carry 연산을 개선함으로써, 덧셈 회로의 속도를 향상시킨 것이다. 따라서 carry의 계산 속도 개선이 전체 덧셈회로의 속도 개선과 직결된
  • 페이지 6페이지
  • 가격 1,300원
  • 등록일 2007.09.28
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
er 두개를 이용한 가산기도 있고, carry lookahead adder도 있다. Carry lookahead adder는 ripple carry adder의 단점을 커버한 adder이다. 이 회로는 수를 더하는데 필요한 시간을 본질적으로 줄일 수 있는 다른 방법이 사용되었다. Carry를 빨리 평가하여 가산하
  • 페이지 6페이지
  • 가격 1,800원
  • 등록일 2013.08.07
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
안 entity와 architecture만 수정하는 방법으로 과제를 만들었는데, 경우에 따라 제일 윗줄 부분도 바꿔야 하는건지, 어떻게 바꾸는지에 대해 좀더 공부해야겠습니다. 
  • 페이지 1페이지
  • 가격 1,000원
  • 등록일 2006.03.08
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
자리에 따라 segment를 출력한다. partⅠ 7-Segment Display of Decimal Input partⅢ 4-bit Ripple Carry adder partⅣ 1-Digit BCD Adder partⅤ 2-Digit BCD Adder Ⅰ partⅦ 2-Digit Base-N Adder (N=(학번 끝자리)%4+11) partⅧ 2-Digit Base-N Subtractor (N=(학번 끝자리)%4+11)
  • 페이지 4페이지
  • 가격 1,000원
  • 등록일 2009.06.12
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
[전자전기컴퓨터설계실험2] A+ 서울시립대학교 전전설2 2주차 예비+결과(코드포함) HBE-Combo2-SE board 목차 가. 실험목표 나. 이론적배경 다. Simulation 1)OR gate, XOR gate 2)Half Adder 3)1-bit Full Adder 4)4-bits Ripple Carry Full Adder 라. 결론 마.
  • 페이지 12페이지
  • 가격 3,000원
  • 등록일 2024.11.07
  • 파일종류 워드(doc)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
adder를 이용하여 3bit ripple carry 방식의 가산기를 구성하라. - BO 아래의 carry 값은 `0'으로 설정해준다. 최하위 bit 이기 때문에 올라오는 carry 값이 없기 때문. 4 실험 2의 다른 회로의 전가산기를 구성하라. - 전가산기의 부울대수식은 다음과 같다.
  • 페이지 8페이지
  • 가격 1,000원
  • 등록일 2004.09.16
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
ALU의 동작과 응용을 확인한다. 2. 이론 가. 반가산기(Half Adder) 1비트의 이진수로 표시된 두 수를 합하여 그 결과를 출력하는 가산기를 반가산기라고 한다. 이때 두 개의 수 A, B를 합해서 나온 합(Sum)과 자리올림(Carry)이 발생한다. 1. 실험
  • 페이지 9페이지
  • 가격 1,500원
  • 등록일 2021.01.07
  • 파일종류 아크로벳(pdf)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
connections between all full adders by demonstrating that a 0 and a 1 can be propagated from C0 to C4. Sol> A 0 1 0 1 0 1 0 1 B 0 1 0 1 C0 S 0 1 2 1 2 3 A 1 2 4 8 B 1 2 4 8 C0 S 2 4 8 0 C4 5-26. Compile and simulate the behavioral description of the 4-bit adder in Figure 5-18. Assuming a ripple c
  • 페이지 4페이지
  • 가격 1,000원
  • 등록일 2007.07.02
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
Adder library ieee; use ieee.std_logic_1164.all; entity full_adder is port(a, b, cin : in std_logic; sum, cout : out std_logic); end full_adder; architecture behav of full_adder is begin process(a, b, cin) begin sum <= a xor b xor cin; cout <= (a and b) or (a and cin) or (b and cin); end proce
  • 페이지 13페이지
  • 가격 1,000원
  • 등록일 2007.01.21
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
이전 1 2 3 4 5 6 7 8 다음
top