• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 8건

library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity data_gen is port(reset, active : in std_logic; V_sync, Left_But, Right_But : in std_logic; H_count, V_count : in std_logic_vector(9 downto 0); addr : out std_logic_vector(
  • 페이지 400페이지
  • 가격 3,000원
  • 등록일 2006.06.20
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
HDL(Hardware Description Language) 1.2.3 FPGA를 이용한 설계 흐름 2. 본론 2.1 Xilinx ML310 Board Specification 2.1.1 ML310 Board 2.1.1 Virtex2pro 2.2 Ethernet MAC 연구 2.2.1 Ethernet MAC 원리 2.2.2 Ethernet MAC 스케메틱 및 핀배치 2.3 OFDM MODEM 구현 2.3.1 OFDM MODEM의 원리 2.3.2
  • 페이지 77페이지
  • 가격 10,000원
  • 등록일 2006.06.01
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
HDL Chip Design\' A Practical Guide for Designing, Synthesizing and Simulating ASICs and FPGAs using VHDL or Verilog』, Doone Publications 2. 이준성 외, 『Xilinx Foundation을 이용한 디지털 시스템 설계』, 복두 출판사 3. 차영배 외, 『VHDL을 이용한 CPLD/FPGA 설계』, 다다미디어
  • 페이지 10페이지
  • 가격 2,300원
  • 등록일 2013.08.07
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
FPGA World 김 혁 | 엔트미디어 | 2006년 04월 [4] ISE를 이용한 VHDL 및 FPGA 실습 김 재 철 | 홍릉과학출판사 | 2005년 02월 [5] FPGA DESIGN 이론 및 실습 DAVID VAN DEN BOUT | 김만복 편 옮김 | 홍릉과학출판사 | 2000년 09월 [6] 디지털 논리와 컴퓨터 설계 M.MORRIS MANO
  • 페이지 36페이지
  • 가격 2,000원
  • 등록일 2007.01.30
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
TTL 변환기등을 포함한다. 송수신단의 FPGA의 프로그래밍은 post-layout 모의실험까지 거친 HDL 모델을 사용하여 이루어지고, FPGA를 프로그래밍하기 위한 비트 파일은 ROM내에 저장되어 전원의 인가와 동시에 다운로드가 진행된다. 송신단의 경우는
  • 페이지 12페이지
  • 가격 2,300원
  • 등록일 2002.11.08
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

취업자료 1건

HDL을 조원은 F/W를 수정했습니다. 설계 SPEC은 사칙연산이었지만 나누기를 완성한 조도 없었습니다. 그렇기에 사칙 연산은 물론 제곱, 루트, 로그까지 완성한 저희 조는 독보적일 수 있었습니다. ● 장래계획 [Stay hungry, Stay foolish] 항상 배고프고
  • 가격 1,700원
  • 등록일 2010.09.24
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
top