|
-2 인코더 설계
그림 2-1에 나타내었던 4-to-2 인코더는 어느 한 순간에 입력들
중 오직 한 입력만이 1이어야 정상적으로 동작할 수 있다는 제약
을 가지고 있다. 예를 들어 입력 D1과 D2가 동시에 1이 되면 출
력 AB=11이 되어 마치 입력 D3이 1인 것처
|
- 페이지 6페이지
- 가격 1,300원
- 등록일 2010.03.08
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
회로 실험
실험 8. 인코더와 디코더 회로 예비보고서 Ⅰ. 실험목적
Ⅱ. 이론
Ⅲ. 실험 준비물
Ⅳ. 예비과제
Ⅴ. 실험 예상
|
- 페이지 8페이지
- 가격 6,300원
- 등록일 2015.11.10
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
덜할 것 같다. 하지만 새로운 소자를 이용하기 때문에 여러 가지 참고자료를 통해 핀의 배열을 확실히 확인하여 원활한 실험이 되도록 해야겠다. 1. 실험 목표
2. 실험 준비물
3. 예비 이론
4. 실험 방법 및 시뮬레이션
5. 고찰
|
- 페이지 9페이지
- 가격 1,000원
- 등록일 2011.11.21
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
디코더(복호기)
A(1)
A(0)
Y(3)
Y(2)
Y(1)
Y(0)
0
0
0
0
0
1
0
1
0
0
1
0
1
0
0
1
0
0
1
1
1
0
0
0
< 동작 확인 >
2. 4x2 인코더(부호기)
entity encoder4x2 is
port( X : in std_logic_vector(3 downto 0);
( Y : out std_logic_vector(1 downto 0));
end encoder4x2;
architecture Behavioral of encoder4x2 is
beg
|
- 페이지 9페이지
- 가격 3,000원
- 등록일 2011.06.22
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
output의 각 비트에 대입되었다.
위의 출력을 진리표로 나타내면 다음과 같다.
en
input(2)
input(1)
input(0)
output
0
X=don't care
X
X
0
1
1
1
1
output(7)=1
1
1
0
1
output(5)=1
1
1
1
0
output(3)=1
1
1
0
0
output(1)=1 1. 예비조사 및 실험 내용의 이해
2. 실험내용 및 결과
|
- 페이지 7페이지
- 가격 1,300원
- 등록일 2010.03.08
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|