• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 1,675건

설계하며 모드변경을 통해 2가지 Counter를 한꺼번에 구동되도록 설계할 수 있다. 모드 변경에는 'case'를 사용한다. Asynchronous reset은 clock과 상관없이 동작된다. 분주회로를 통해 clock을 느리게 하여 사용할 수 있다. (kit에서는 4MHz의 clk가 입력된
  • 페이지 13페이지
  • 가격 1,500원
  • 등록일 2014.06.23
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
설계해 보았다. 단순한 연산을 떠나 조건문을 이용하여 다양한 케이스의 연산을 설계하고 같은 입력 값을 다른 연산을 수행시켜 보았다. 쉬프트연산을 굳이 쉬프트 연산자를 이용하지 않고도 간단하게 구현할 수 있었다. · ALU를 이용해서 다
  • 페이지 19페이지
  • 가격 1,500원
  • 등록일 2014.06.23
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
설계대로 y를 내부 신호로 설정해주고 1. 가산기 2. 4비트 가산기 3. y 벡터와 m의 xor 연산 하는 단계를 더해 주는 식으로 회로를 구현하였다면 이런 부분에서 실수를 하지 않았을 것이라는 점을 배웠다. 이번 실험은 단순하였기 때문에 실수 하
  • 페이지 9페이지
  • 가격 1,000원
  • 등록일 2014.06.23
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
설계는 저번 시간의 배움을 통해 크게 어렵지 않다. 단지 입력 B 벡터와 m을 xor 연산하여 미리 선언한 B_sig에 설정하고 이를 A와 덧셈연산을 하면 될 뿐이다. 물론 제일 처음 carry in 은 m 이 1일 경우 1로 설정하여 B의 2의 보수 연산을 완료한 후에
  • 페이지 17페이지
  • 가격 1,500원
  • 등록일 2014.06.23
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
설계하여 이를 KIT를 통해 구현하였다. 다양한 케이스의 연산이 이미 입력된 입력 값을 이용하여 버튼의 조작으로 여러 연산을 수행시켰다. kit의 버튼에 따라 다양한 입력값이 인가될 수 있도록 하였으며 여러 연산이 버튼에 따라 조작될 수
  • 페이지 11페이지
  • 가격 1,500원
  • 등록일 2014.06.23
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 16건

회로”, 인하대 대학원 (2004) 석사 논문 , 국회도서관 DLL 석사논문 자료실. <8> 류 영 수, 락킹 상태 표시기를 이용한 지연 고정 루프 기반의 클록 합성기 설계, 부경대 대학원 2006 석사 논문 , 국회도서관 DLL 석사논문 자료실. <9> 백 동
  • 페이지 28페이지
  • 가격 3,000원
  • 발행일 2010.02.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
대학교출판부, 1974. , 한국유학의 철학적 전개 상중하, 서울, 연세대학교출판부, 1985. 이해명 외, 현대 교육과정 및 평가, 교육아카데미, 2008. 조남국, 율곡의 사회사상, 양영사, 1983. 채무송, 퇴계 율곡 철학의 비교연구, 성균관대학교출판부, 1985
  • 페이지 10페이지
  • 가격 30,000원
  • 발행일 2012.06.21
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
대학교 대학원, 1997. 정삼석, "도시재개발 사업 분양방법에 따른 기대효익율 평가 모델에 관한 연구", 국 토계획, 제 29권 11호, 1994. 주종원, "도심재개발의 기본 방향", 토지연구, 1993.11/12월호. 최막중, "재개발·재건축 사업의 경제논리와 물리적
  • 페이지 29페이지
  • 가격 4,000원
  • 발행일 2004.12.20
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
대학교 대학원 박사학위 청구논문. 김복순(1992). 듀이의 교육사상에 나타난 아동중심교육관 및 아동교육방법에 관한 고찰. 성균관대학교 대학원 석사학위 청구논문. 박영만(1992). John Dewey 실험학교의 교육이론과 실제에 관한 연구. 성균관대학
  • 페이지 24페이지
  • 가격 3,000원
  • 발행일 2009.07.27
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
성균관대학교 대학원 석사학위 논문. 이남주. 2002. “미국에서 중국 위협 론의 부상과 변화.” 『역사비 평』 2002년 겨울호, 343-359. 박홍서. 2000. “중국 위협 론의 논리 비판: 경험적 근거의 문제.” 『중국연구』 25-0, 115-146. 변창구. 2004. “동
  • 페이지 36페이지
  • 가격 3,000원
  • 발행일 2007.11.13
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 55건

설계하고 조립하여 완성된 로봇이 제대로 동작했을 때의 성취감, 대학교 3학년 논리회로설계실험 과목을 들었을 때 Rov-Lab 3000을 이용한 알람기능을 가진 디지털시계를 만들기 위해서 실험실에서 밤을 새며 알고리즘을 연구, 구현해 결국엔 원
  • 가격 3,000원
  • 등록일 2023.02.13
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
[프로그래밍및실습/A+] C 언어로 프로그래밍을 학습. [전자회로및설계(1)/A+] 전자의 전반적인 이해와 기본적인 회로를 설계 하였습니다. [공업수학/A+] 전기관련분야를 이해하기 위한 과정을 학습. [디지털공학/A+] 순서논리회로의 분석 및 설계
  • 가격 1,200원
  • 등록일 2012.05.12
  • 파일종류 한글(hwp)
  • 직종구분 전문직
대학교 수시 교사추천서 한국외대 수시 교사추천서 1.지원자의 학업관련 영역에 대하여 \"V\"로 표기하고 평가에 고려할 만한 사항이 있는 경우 사례, 또는 그렇게 평가한 이유를 기술하여 주십시오.(500자이내) (생략)......통하여 논리적인
  • 가격 2,000원
  • 등록일 2013.08.25
  • 파일종류 아크로벳(pdf)
  • 직종구분 기타
대학교 공학 화학공학과 3.57/4.5 845 동아대학교 공학 기계공학과 3.15/4.5 782 성균관대학교 공학 컴퓨터공학과 3.8/4.5 800 A. 대우조선해양 (설계/생산직) 자기소개서 실전예문 1. 성장과정 2. 성격 및 장단점 3. 직업관 및 신조 4. 지원동기
  • 가격 2,500원
  • 등록일 2011.03.24
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
회로에 대한 이해를 바탕으로 목표 애플리케이션에 최적화된 설계 역량이 필요합니다. 저는 이를 위해 다음과 같은 경험을 쌓아왔습니다. 첫째, 직무 수행에 필요한 전공 지식을 갖췄습니다. 전자회로1,2, 집적회로, 디지털논리회로1,2, 고급
  • 가격 1,000원
  • 등록일 2023.03.24
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
top