• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 13,380건

반도체 직접회로설계 수업을 들으며 익힌 내용을 토대로 흔히 볼 수 있는 미니 커피 자판기를 Altera Quartus II 를 이용하여 VHDL 언어로 코딩 함으로 써 VHDL설계에 대한 이해도를 높인다. 미니 커피 자판기를 위한 코딩으로써 음식점 입구에서
  • 페이지 16페이지
  • 가격 3,000원
  • 등록일 2009.06.21
  • 파일종류 피피티(ppt)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
이용한 VHDL 및 FPGA 실습 김 재 철 | 홍릉과학출판사 | 2005년 02월 [5] FPGA DESIGN 이론 및 실습 DAVID VAN DEN BOUT | 김만복 편 옮김 | 홍릉과학출판사 | 2000년 09월 [6] 디지털 논리와 컴퓨터 설계 M.MORRIS MANO | 강철희 외 옮김 | 교보문고 | 2005년 02월 
  • 페이지 36페이지
  • 가격 2,000원
  • 등록일 2007.01.30
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
VHDL을 이용하여 ALU(Arithmetic logic unit)을 설계해보고, 합성 툴을 이용한 합성을 통하여 설계된 ALU가 정상적으로 동작하는지 여부를 확인해보는 것 이였습니다. 전반적인 Code에 조건문으로 IF문을 많이 사용하였고, 각 블록 단위로 코딩을 실시하
  • 페이지 9페이지
  • 가격 2,300원
  • 등록일 2012.06.13
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
VHDL code 1. transport delay library ieee; use ieee.std_logic_1164.all; entity bool_func is port ( x : in std_logic; y : out std_logic ); end bool_func; 1. Title: VHDL을 이용한 inertial delay와 transport delay 확인 2. purpose: 3. Theory 4. Data & Result (1) VH
  • 페이지 6페이지
  • 가격 4,200원
  • 등록일 2012.12.17
  • 파일종류 워드(doc)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
이용하여 수행하였는데, “cin"과 그룹화 시켜서 ”00000“에서 ”11111“ 순서로 입력이 들어가게 하였다. - 이 회로도가 지금까지 짜여진 VHDL 코딩에서 얻어낸 심볼로 "lastalu"를 설계한 회로도 이다. 결론 - 이번 연산은 산술뿐만 아니라 논리 연
  • 페이지 21페이지
  • 가격 3,000원
  • 등록일 2006.04.25
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
이용한 설계보다 코딩으로 기술 가능한 점, Simulation을 통해 오류를 찾기 쉬운점, 정확한 논리식이 불필요하고 알고리즘에 의해 구현가능한 점, 쉽게 수정 가능한 점을 이번 Term Project를 통해 느끼게 되었습니다. 1.개요 -VHDL언어란 2. Term Pr
  • 페이지 21페이지
  • 가격 10,000원
  • 등록일 2018.06.21
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
팔 수도 있다. 그 장소에 꼭 필요한 물품을 넣어 판매를 한다면 이익 창출에 더 큰 도움이 될 것이다. 일정표 역할 분담 개발 배경 및 필요성 개발 내용 및 방법 상태표 및 상태도 카노맵 회로도(로직웍스로 구현) VHDL 구현 Q & A
  • 페이지 22페이지
  • 가격 8,000원
  • 등록일 2011.12.13
  • 파일종류 피피티(ppt)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
자판기 설계에는 용이하지 않음을 알게 되어서 상태변수와 플리플롭을 사용하여 자판기 설계를 다시하였다. 카르노맵간소화 회로설계 프로그램 구현 스위치를 누를 때 단발 펄스 발생 Cedar logic simulator 를 이용하여 자판기 회로를 구현해 보
  • 페이지 10페이지
  • 가격 13,860원
  • 등록일 2012.09.25
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
설계해 주었다. 그림.14 입력(X4)과 State를 이용하여 LED6 설계 Ⅲ. 실 험 우리조가 이번 실험을 수행하기 위해서 본론에서 사용된 내용들을 토대로 만들어낸 최종 회로는 아래 그림15와 같다. 일단 각각의 State 즉 X1(코인)입력에 따른 Segment 및 LED
  • 페이지 5페이지
  • 가격 1,800원
  • 등록일 2013.03.03
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
설계 목적 03 2. ComboⅡ에서 이용한 장치 설명 및 동작원리 03 (1) 7-Segment Array 03 (2) LED 03 (3) 키패드 04 (4) 메인 클럭 04 (5) VFD 05 (6) 버튼 스위치 07 (7) 버스 스위치 07 3. ATM SYSTEM FLOW CHART 09 4. ATM SYSTEM 블록도 10 5. 각 process 신호 1
  • 페이지 36페이지
  • 가격 6,300원
  • 등록일 2015.11.23
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
top