|
실험을 마치기 위한 출력은 비록 s가 1000일 때인 a값이 그대로 출력되는 것 뿐이었지만 다른 출력값을 확인해 보기 위해서 여러 가지 s 값을 시도하였고 아쉽게도 7segments는 제대로 구현되지 못했지만 led상에서는 제대로 작동하고 있어 의미가
|
- 페이지 11페이지
- 가격 1,500원
- 등록일 2014.06.23
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
설계하였고 IBUF의 필요성을 이해하고 코드 내에서 사용할 수 있었다.
Evaluation
유한 문자열 인식기를 설계하는 실험이었다. 언뜻 보면 어렵고 복잡하다 느낄 수 있지만 상태도를 정확히 그려낼 수 있다면 지난번 실험과 크게 다를 바 없이 상태
|
- 페이지 8페이지
- 가격 1,000원
- 등록일 2014.06.23
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
회로에서 100Hz 이던 pole이 6.2Mhz 로 옮겨 간것을 확인 할 수 있다
이런 형식의 pole이 1e6, 1e7, 1e8 rad/sec 인 회로를 3개 연결하고 하나하나의 증폭도 값을
100k=100 * 100 *10 으로 나눠서 설계해본다.
3번 회로-2
3번 주파수 응답-2
결과를 보았을때 1e6 rad/s
|
- 페이지 7페이지
- 가격 1,000원
- 등록일 2011.04.24
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
설계해 보았다. 단순한 연산을 떠나 조건문을 이용하여 다양한 케이스의 연산을 설계하고 같은 입력 값을 다른 연산을 수행시켜 보았다. 쉬프트연산을 굳이 쉬프트 연산자를 이용하지 않고도 간단하게 구현할 수 있었다.
· ALU를 이용해서 다
|
- 페이지 19페이지
- 가격 1,500원
- 등록일 2014.06.23
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
설계는 저번 시간의 배움을 통해 크게 어렵지 않다. 단지 입력 B 벡터와 m을 xor 연산하여 미리 선언한 B_sig에 설정하고 이를 A와 덧셈연산을 하면 될 뿐이다. 물론 제일 처음 carry in 은 m 이 1일 경우 1로 설정하여 B의 2의 보수 연산을 완료한 후에
|
- 페이지 17페이지
- 가격 1,500원
- 등록일 2014.06.23
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
aly;
architecture Behavioral of counter_mealy is
type st_mealy is( a, b, c, d, e, f, g, h, i, j ,k ,l ,m ,n, o, p);
signal state : st_mealy;
signal s_input : std_logic;
begin
process(m_reset,m_clk)
begin
if m_reset = '1' then
s_input <= '0';
elsif rising_edge(m_clk) then
s_input <= m_input;
en
|
- 페이지 9페이지
- 가격 1,000원
- 등록일 2012.12.24
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
회로도
왼쪽
A=입력X
B=입력 Y
오른쪽
C=DRINK
D=ChangeA (10원반환)
E=ChangeB (20원반환)
F=ChangeC (30원반환)
G=ChangeD (40원반환)
11.검증
(정확하게 분석하지 못한 점이 아쉽습니다.)
12.자체 평가 및 향후 반영할 사항 기술
카르노 맵을 변수 5개를 이용하
|
- 페이지 6페이지
- 가격 1,800원
- 등록일 2013.07.09
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
실험을통해서 타임영역에서 영상을 처리하는 가장 기본적인 방법을 습득했다. 부수적으로 Sram에대해 이해하였고 프로젝트를 해결해나가면서 한단계한단계 순차적으로 문제를 해결하는 방법을 배웠다.
참고문헌
[1] Using the New Verilog-2001 Standa
|
- 페이지 28페이지
- 가격 3,300원
- 등록일 2013.05.16
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
반가산기 : 2진수 덧셈에서 두 개의 비트 A와 B를 더한 합 S와 자리올림(carry) C0를 출력하는 조합회로이다.
전가산기 : 두 개의 비트 A, B와 밑자리로부터의 자리올림 C1을 더한 합 S와 윗자리로의 자리올림 C0를 출력하는 조합회로이다. 가산
|
- 페이지 13페이지
- 가격 2,000원
- 등록일 2013.10.28
- 파일종류 피피티(ppt)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
논리회로(Logic circuit)
디지털 전자회로에서는 2진수 “0”과 “1”의 두 부호의 조합에 의해 필요한 정보를 나타내는데, 이 0과 1을 사용하여 입력정보를 처리하는 회로를 논리회로라 한다. 지금까지 2진수의 두 상태를 “1”과 “0”으로 표
|
- 페이지 38페이지
- 가격 3,000원
- 등록일 2011.09.05
- 파일종류 피피티(ppt)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|