|
combinational logic circuit)
전가산기 (Full Adder)
디코더(Decoder)
인코더(Encoder)
조합 논리회로(combinational logic circuit)
전가산기 (Full Adder)
디코더(Decoder)
인코더(Encoder)
순서 논리회로
입력값과 회로의 현재 상태에 따라 출력값 결정
기억능력 갖
|
- 페이지 18페이지
- 가격 0원
- 등록일 2010.04.27
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
coder를 이용한 회로로 나타내는 것이다. 이 때 각 회로에는 En이 인풋으로 포함된다. MUX와 Decoder의 구조를 이해하여 내부 시그널을 인풋, 아웃풋 값들과 연결 짓는 것이 중요한 실습 포인트이다. 또한 logic vector 활용법을 익힐 수 있는 실습이다.
|
- 페이지 28페이지
- 가격 2,000원
- 등록일 2020.11.23
- 파일종류 아크로벳(pdf)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
logic;
signal D1 : std_logic_vector(7 downto 0);
signal x1 : std_logic_vector(2 downto 0);
component encoder_be -- 테스트 할 대상인 encoder의 회로 이용을 선언, decoder의 input x와 output d가 반전된 encoder이므로 d를 input, x를 output으로 선언, en은 그대로 input
port(
EN : in Std_logic
|
- 페이지 7페이지
- 가격 1,500원
- 등록일 2017.06.24
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
logic_1164.all;
use ieee.numeric_std.all;
entity multi is
port( a : in unsigned (1 downto 0);
b : in unsigned (1 downto 0);
result : out unsigned (3 downto 0));
end entity;
architecture arc of multi is
begin
result <= a * b;
end arc; Decoder(4bit BCD)
Multiplexer(8비트)
Encoder(4bit)
|
- 페이지 6페이지
- 가격 2,000원
- 등록일 2011.11.24
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
. 예비보고서 및 결과보고서가 같이 들어 있습니다.
1.Logic gates
2.Logic gates-TTL gates와 deMorgan의 법칙
3. RS-Latch 및 D-LAtch
4.JK flip-flop
5.Shift Register
6. 이진계수기
7. 십진계수기
8. Decoder와 Encoder
9. 덧셈회로(Adder)
10.OP AMP
|
- 페이지 92페이지
- 가격 3,000원
- 등록일 2004.04.29
- 파일종류 압축파일
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
외1, 2008, Prentice Hall
-전기전자기초실험, 신정록 외 공저, 한올출판사
-Logic and Computer Design Fundamentals 3rd 1. Title
2. Name
3. Abstract
4. Background
(1) Shift register
(2) Divider
5. Simulation
6.Experimental Results
7. Analysis
8.Conclusion
9. Reference
|
- 페이지 22페이지
- 가격 3,000원
- 등록일 2008.11.27
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
Logic and computer design fundamentals
출판사 : PEARSON Prentice Hall - 역 (주)교보문고
저자 : M.Morris Mano and Charles R.Kime
초판발행 : 2006년 8월 20일 발행 1. title
2. Name
3. Abstract
4. Background
◈ 카운터(counter)
(1) 비동기형 카운터
(2) 동기형 카
|
- 페이지 26페이지
- 가격 3,000원
- 등록일 2010.11.26
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
Decoder의 회로를 구현하기 위해 Quartus Ⅱ를 이용하여 구현한 후 ModelSim을 이용하여 동작을 확인한다.
실험 고찰 2 - 회로도(schematic) 캡처
실험 고찰 2 - Programing 에서 Success 화면 캡처
실험 고찰 2 - ModelSim 결과 파형 캡처 & 분석
Input
Output
i1
i0
en
val
|
- 페이지 24페이지
- 가격 3,300원
- 등록일 2013.08.07
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
디지털회로실험및설계 예비 보고서 #8
( Encoder, Decoder 실험 )
과 목
담당교수
제 출 일
학 번
이 름
1. 실험목표
① 인코더의 회로 구성과 동작을 실험한다.
② 디코더의 회로 구성과 동작을 실험한다.
2. 관련이론
인코더는 여러 개의 입력 중에
|
- 페이지 12페이지
- 가격 3,000원
- 등록일 2023.09.22
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
디지털회로실험및설계 결과 보고서 #8
( Encoder, Decoder 실험 )
과 목
담당교수
제 출 일
학 번
이 름
1. 회로도
2. 실험결과 및 이론분석
실험 (1) 1.회로의 초기값에 대하여 알아보고 그 이유를 설명하시오.
2. 74LS148의 입력에 따라 FND의 출력을 확인
|
- 페이지 7페이지
- 가격 3,000원
- 등록일 2023.09.22
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|