• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 11,974건

또한 여러 가지 memory consistency를 지원하며, computer architecture의 여러 가지 변수들을 test할 수 있도록 되어 있다. 1. Precondition and Problems in Previous Project 2. Title(New Subject) 3. Motivation & Objective 4. Simulation on RSIM 5. Simulation on WWT2 6. Reference
  • 페이지 9페이지
  • 가격 1,000원
  • 등록일 2009.03.16
  • 파일종류 워드(doc)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
computer에 설치할 수 없어 testing을 시작하지 못했다. 따라서 다른 simulator를 선정하려고 한다. SPIM을 이용한 simulation은 schedule대로 진행되어 test는 마무리 단계에 있다. Simics는 위에 기술한 문제로 인해 설치에 실패하여 다른 simulator를 찾고 있
  • 페이지 4페이지
  • 가격 800원
  • 등록일 2009.03.16
  • 파일종류 워드(doc)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
구조 4. 블루투스의 연결형태 5. 블루투스의 주파수 변조방식 6. 블루투스 채널 및 슬롯 7. 블루투스의 물리링크 8. 블루투스의 패킷 전송 9. 블루투스 에러정정 10. 블루투스의 인증과 암호화 11. 블루투스 연결 12. 블루투스 응용분
  • 페이지 25페이지
  • 가격 3,000원
  • 등록일 2008.11.27
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
을 통제하는 절차와 방법을 구체적으로 제시하고 있다. 또한 이와 같은 Carroll의 학교학습모형은 B. Bloom의 완전학습(mastery learning)에 이론적 기초를 제공하였다. ▣ 각인(imprinting) ▣ 간섭설(interference) ▣ 간헐적 강화(intermittent reinforcement)
  • 페이지 41페이지
  • 가격 3,300원
  • 등록일 2004.11.23
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
을 발견할 수 있었다. 다시 한번 재수정한 결과 회로의 동작을 확인할 수 있었고 이번 프로젝트를 성공적으로 마칠 수 있게 되었다. 이번 Final Term Project를 통해서 하나의 성능 좋은 회로를 만든다는 것이 얼마나 힘들고 고된 일인지를 알 수
  • 페이지 11페이지
  • 가격 5,000원
  • 등록일 2008.12.29
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 102건

구조 1. 기존의 네트워크 구조 2. 개선된 네트워크 구조 Ⅳ 분할 네트워크의 효율성 실험 및 분석 1. 실험환경 및 내용 2. 실험결과 및 분석 1) 이더넷 지연 2) TCP 연결 송신 지연(E-mail) 3) TCP 연결 송신 지연(FTP)
  • 페이지 19페이지
  • 가격 3,500원
  • 발행일 2009.06.21
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
및 환경설정 3.2.2 Master Server IP 설정 3.3 Real Server 설정 37 3.4 LVS 클러스터의 기동 및 동작 확인 3.5 NFS 설정(File server 설정) 4. 홈페이지 구축 4.1 선행연구(기존 학급 웹서버 검토) 4.2 YT-스쿨 자료실 설계 및 구현 4.2.1 시스템 구현
  • 페이지 62페이지
  • 가격 5,000원
  • 발행일 2010.01.14
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
구조 2.사이버스페이스의 특성과 국가관할권과의 관계 (1)사이버스페이스의 특성 1)익명성 2)행위지 및 행위자의 불특정성 3)동시성 4)국경을 초월한 전 세계적 영향력 5)물리적 인식의 불능 6)쌍방향성
  • 페이지 40페이지
  • 가격 3,000원
  • 발행일 2007.10.17
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
경쟁 4) 구매자 교섭력 5) 공급자의 교섭력 제5절 쟁점 분석 1. 휴대폰 소액 결제 소비자 피해 2. 대기업 휴대폰 소액 결제 시장 진출 제6절 해외 산업 현황 및 해외 진출 사례 1. 해외 산업 현황 2. 해외 진출 사례 제3장 결론
  • 페이지 57페이지
  • 가격 3,000원
  • 발행일 2009.06.21
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
을 자유롭게 READ/WRITE 할 수 있다. 1. 서론 ----------------- 1.1. 동기 및 개요 ----------------- 1.2. 목적 ----------------- 1.3. 새로운 시스템 ----------------- 2. 본론 ----------------- 2.1 기본 원리 및 구성 ----------------- 2.2 AVR의 특성 ----------------- 2.3 최
  • 페이지 67페이지
  • 가격 10,000원
  • 발행일 2014.10.17
  • 파일종류 압축파일
  • 발행기관
  • 저자

취업자료 49건

4) 인사(HR) 분야 지원자의 이력서 5) 컴퓨터 프로그래머 지원자의 이력서 6) 다분야 경험자의 이력서 7) 연대기식 이력서(Chronological Resume)의 예시1 8) 연대기식 이력서(Chronological Resume)의 예시2 9) 비서직 지원자의 이력서
  • 가격 3,000원
  • 등록일 2007.02.28
  • 파일종류 한글(hwp)
  • 직종구분 전문직
4) 인사(HR) 분야 지원자의 이력서 5) 컴퓨터 프로그래머 지원자의 이력서 6) 다분야 경험자의 이력서 7) 연대기식 이력서(Chronological Resume)의 예시1 8) 연대기식 이력서(Chronological Resume)의 예시2 9) 비서직 지원자의 이력서
  • 가격 3,000원
  • 등록일 2010.12.06
  • 파일종류 한글(hwp)
  • 직종구분 기타
컴퓨터나 장치에 애플리케이션을 수행해 주는 미들웨어으로 볼 수 있다. - WBS - 업무 분업 구조, 작업 분해 구조, 작업 분류 체계, 작업 분할 구조, 작업 분할 구도는 프로젝트 관리와 시스템 공학 분야에서 프로젝트의 더 작은 요소로 분해시
  • 가격 3,500원
  • 등록일 2020.03.02
  • 파일종류 한글(hwp)
  • 직종구분 기타
을 고도화하여 적용하는 것이 필요하다. 이러한 기업금융 실적을 확대하기 위해 대기업 및 중소기업 대출 신규 실적에 가중치를 적용하고 포상을 병행하는 방안도 검토해야 할 것이다. - 목 차 - Part 1. 면접 전형 방식 - 1차, 2차, 3차 면
  • 가격 9,000원
  • 등록일 2023.08.21
  • 파일종류 한글(hwp)
  • 직종구분 기타
을 제외할 수 없다. 둘째로는, 현재 전화를 통해 고객과 의 정보교류가 많이 이루어지고 있으므로 컴퓨터와 전화통신망을 통합한 CTI (computer telephony integration) 기술도 확보되어야 한다. 전화를 한 고객의 실시간적인 정보가 데이터베이스에 저
  • 가격 3,500원
  • 등록일 2014.05.28
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
top