|
입니다. 성균관대학교의 역동적이고 혁신적인 연구 환경은 제가 이러한 목표를 달성하는 데 있어 중요한 발판이 될 것입니다. 성균관대학교 전자전기공학부에서의 학문적 성취와 연구 경험은 제가 미래 산업을 이끌어 나갈 수 있는 기술 개
|
- 페이지 4페이지
- 가격 5,000원
- 등록일 2023.11.28
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
화상을 입었을때
10. 부딪혔을 때
11. 이물질이 목에 걸렸을 때
12. 귀에 이물질이 들어갔을 때
13. 코에 이물이 들어갔을 때
14. 물에 빠졌을 때
Ⅵ. 신생아 목욕
1. 목 적
2. 준비품
3. 준 비
4. 순 서
참고문헌
신생아 신생아에 대한 이해와 돌보기, (수유,간호,질병관리,응급처치,목욕 시키기) 신생아 간호, 신생아에 대한 이해와 돌보기(수유,간호,질병관리,응급처치,목욕 시키기),
|
- 페이지 14페이지
- 가격 600원
- 등록일 2010.05.08
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
입력 및 출력 선언
port (load, rst : in std_logic;
m_plicand : in std_logic_vector (m_plicand_width-1 downto 0);
m_plier : in std_logic_vector (m_plier_width-1 downto 0);
output : out std_logic_vector (output_width-1 downto 0);
clk : in bit);
end booth_multiplier;
architecture Behavioral of booth_mul
|
- 페이지 19페이지
- 가격 1,500원
- 등록일 2014.06.23
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
입력값을 넣은 후 10ns 기다리고 다음 값을 넣게 된다.
process
begin
x<="0101";
y<="0011";
ci<='0';
m<='0';
wait for 10 ns;
x<="0011";
y<="1010";
ci<='0';
m<='0';
wait for 10 ns;
x<="0010";
y<="0011";
ci<='1';
m<='0';
wait for 10 ns;
x<="0011";
y<="0010";
ci&l
|
- 페이지 9페이지
- 가격 1,000원
- 등록일 2014.06.23
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
입력 B 벡터와 m을 xor 연산하여 미리 선언한 B_sig에 설정하고 이를 A와 덧셈연산을 하면 될 뿐이다. 물론 제일 처음 carry in 은 m 이 1일 경우 1로 설정하여 B의 2의 보수 연산을 완료한 후에 A와 더하게 된다. 이하는 위의 소스 코드를 테스트하기
|
- 페이지 17페이지
- 가격 1,500원
- 등록일 2014.06.23
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|