• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 1,556건

입니다. 성균관대학교의 역동적이고 혁신적인 연구 환경은 제가 이러한 목표를 달성하는 데 있어 중요한 발판이 될 것입니다. 성균관대학교 전자전기공학부에서의 학문적 성취와 연구 경험은 제가 미래 산업을 이끌어 나갈 수 있는 기술 개
  • 페이지 4페이지
  • 가격 5,000원
  • 등록일 2023.11.28
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
화상을 입었을때 10. 부딪혔을 때 11. 이물질이 목에 걸렸을 때 12. 귀에 이물질이 들어갔을 때 13. 코에 이물이 들어갔을 때 14. 물에 빠졌을 때 Ⅵ. 신생아 목욕 1. 목 적 2. 준비품 3. 준 비 4. 순 서 참고문헌
  • 페이지 14페이지
  • 가격 600원
  • 등록일 2010.05.08
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
입력 및 출력 선언 port (load, rst : in std_logic; m_plicand : in std_logic_vector (m_plicand_width-1 downto 0); m_plier : in std_logic_vector (m_plier_width-1 downto 0); output : out std_logic_vector (output_width-1 downto 0); clk : in bit); end booth_multiplier; architecture Behavioral of booth_mul
  • 페이지 19페이지
  • 가격 1,500원
  • 등록일 2014.06.23
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
입력값을 넣은 후 10ns 기다리고 다음 값을 넣게 된다. process begin x<="0101"; y<="0011"; ci<='0'; m<='0'; wait for 10 ns; x<="0011"; y<="1010"; ci<='0'; m<='0'; wait for 10 ns; x<="0010"; y<="0011"; ci<='1'; m<='0'; wait for 10 ns; x<="0011"; y<="0010"; ci&l
  • 페이지 9페이지
  • 가격 1,000원
  • 등록일 2014.06.23
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
입력 B 벡터와 m을 xor 연산하여 미리 선언한 B_sig에 설정하고 이를 A와 덧셈연산을 하면 될 뿐이다. 물론 제일 처음 carry in 은 m 이 1일 경우 1로 설정하여 B의 2의 보수 연산을 완료한 후에 A와 더하게 된다. 이하는 위의 소스 코드를 테스트하기
  • 페이지 17페이지
  • 가격 1,500원
  • 등록일 2014.06.23
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 11건

입 시 장애요인 분석 14 1. 정책목표에 따른 전기요금 체계 14 2. 전력산업에서의 경쟁체제 미흡 16 3. 공급자 중심의 전력 산업구조 18 4. 신재생 에너지 지원 정책 부족 18 5. 대 국민 홍보 부족 18 6. 보안 취약성 18 Ⅴ. 스마트
  • 페이지 30페이지
  • 가격 3,500원
  • 발행일 2011.12.23
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
전기가 없어서 충전 못함. 밧데리를 연결 한 결과 1분도 안되어서 다 방전 되었다. 충전을 해야 하는데 인터넷을 뒤졌으나 9.6V짜리 충전기는 구할 수 없었 다. 선배에게 자문을 구한 결과 파워 서플라이를 빌려 면서 급속 충전해 서 사용하라고
  • 페이지 50페이지
  • 가격 20,000원
  • 발행일 2010.02.26
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
입실적 30 <표 21> 기술발전방향과 주요 기술개발에 관한 내용 33 <표 22> 경제발전상황에 따른 기술개발 내용 및 특징 33 <표 23> 통신종류별 기술경쟁력 37 <표 24> 각종 2차전지의 특성 비교 40 <표 25> 전기자동차와 연료전지자동차의 차이 41 <
  • 페이지 48페이지
  • 가격 10,000원
  • 발행일 2009.05.18
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
전기전자 공학과, 삼성전자 LSI 시스템) 2004 <4> 김 대 정, “DLL기반의주파수 합성기” IDEC News Letter January, vol.34, no.5, p.16 ~ p.17 2005 <5> CMOS ADC DLL PLL 칩의 최신 기술 동향, 포항공대 전기전자 공학과, IDEC News Letter Octorber, vol.23, no. 5, p.16 ~ p
  • 페이지 28페이지
  • 가격 3,000원
  • 발행일 2010.02.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
공학 (홍릉과학출판사, 2005) (7) Kenneth Krane 저, 현대물리학 (汎韓書籍, 1998) (8) 리차드 바이스 저, 빛의 역사 (끌리오, 1999) 1. 서론 2. 빛과 전자 3. 빛의 양자적 특성 4. 홀로그래피 5. LED 6. 디스플레이 7. 결론 8. 참고 문헌
  • 페이지 59페이지
  • 가격 3,000원
  • 발행일 2007.10.10
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 233건

공학도, 그러나 가족들이 개성을 장점으로 승화시켜주어 2. 지원동기 GS의 설비 증설은 회사가 성장하고 있다는 증거 3. 성격의 장단점 왕성한 추진력, 주변사람들과의 어울림으로 더욱 강화 4. 입사 후 포부 뻗어나가는 GS의 일원
  • 가격 1,800원
  • 등록일 2014.02.02
  • 파일종류 한글(hwp)
  • 직종구분 기타
저의 존재감을 확인하고, 아울러 사회에 이바지 3. 성격의 장단점 내성적이지만, 부단한 노력으로 활달함 얻어 4. 입사 후 포부 국내는 물론 해외에서도 활동하는 에너지 전문가로 면접족보, 평균 합격스팩, 급여 참고자료
  • 가격 1,800원
  • 등록일 2014.02.03
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
전기전자공학 4.0 910 1.성장과정 함께 어울려 무언가를 한다는 것에 즐거움과 보람을 느끼며 2. 지원동기 양계장 덕에 어려서부터 기계와 친해져 3. 성격의 장단점 생산적인 활력을 주는 비타민 같은 사람, 약한 체력은 보강중 4. 입
  • 가격 1,800원
  • 등록일 2014.02.02
  • 파일종류 한글(hwp)
  • 직종구분 기타
여러 가지 문제들을 해결할 수 있는 능력을 높여 나가겠습니다. 또한 공학대학원 과정이 지향하는 컴퓨터, IT비전에 혁신적 지능화를 추구할 수 있는 전문가로 거듭날 수 있도록 최선을 다할 것입니다. 1.자기소개 2.입학 후의 학업계획
  • 가격 8,000원
  • 등록일 2018.01.15
  • 파일종류 한글(hwp)
  • 직종구분 기타
전기공학부 합격생 * 서울대 지구환경 시스템 공학부 합격생 * 한국 예술종합학교 미술학과 합격생 * 정보통신학과 합격 * 건축공학부 18 .각 대학별 면접 고사 문제 * 성균관대 면접 고사 문제 * 경희대 면접 고사 문제 <수원캠퍼스> *
  • 가격 3,000원
  • 등록일 2006.05.20
  • 파일종류 한글(hwp)
  • 직종구분 기타
top