• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 7,869건

실험 2. A/D converter 《 A/D CONVERTER 회로 구성 》 《 A/D CONVERTER 회로 구성 》 회로구성 실험1의 회로 출력에 위의 비교기를 부가한다 (uAl458C OP amp에는 2개의 OP amp가 있으므로 1개의 op amp로 회로를 구성할 수 있다). 1) 1KHz pulse를 single pulse로 바꾸고 (
  • 페이지 8페이지
  • 가격 2,000원
  • 등록일 2010.01.22
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
저항을 앞에 달아주면 괜찮을 것 같았다. 다음실험에는 미리 회로구성과 핀번호같은 것을 충분히 숙지하고 실험에 임해야겠다. 디지털 공학 실험 6장 가산기와 ALU 그리고 조합논리회로 응용 결 과 보 고 서 1. 결과 2. 검토 및 고찰
  • 페이지 3페이지
  • 가격 2,300원
  • 등록일 2014.03.16
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
가 된다. 책 부울대수 정리11과 같다. 실험 1의 그림(c)는 회로상으로 병렬 상태이고 NOT가 두 번이므로 OR 게이트가 되는 것이다. 실험 1의 그림(d)는 그림(c)에서 NAND를 한번 더 연결 한것이므로 그림(c)가 OR게이트 였으므로 NOR 게이트가 되는 것이
  • 페이지 5페이지
  • 가격 3,300원
  • 등록일 2012.12.12
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
논리식을 구하면 다음과 같다. S = A · B + A · B = A + B C = A · B 입력 출력 A B S C 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 2.전가산기 A, B en 입력 외에 앞단으로부터 1개의 자리올림수도 동시에 가산을 행할 수 있는 회로를 전가산기회로라 한다. 만약 A=011과 B=101을
  • 페이지 4페이지
  • 가격 700원
  • 등록일 2010.04.30
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
부족하다보니 이론공부를 많이 해야 겠습니다. 제목 목적 관련 학습 실험 실습의 구체적 절차 1. 반가산기 2. 전가산기 3. 2비트 병렬 가산기 4. 4비트 병렬 가산기 결 과(웨이브 폼) 문제점 및 해결 방안 결론 및 고찰
  • 페이지 9페이지
  • 가격 1,800원
  • 등록일 2012.04.30
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
실험이었다. 지난 번 실험 때는 vhdl이라는 것이 너무나도 생소하였고, model sim이나 xilinx 프로그램을 태어나서 처음 접하였기에 많이 헤맸었다. 하지만 실험 내용을 집에서 연습해 보면서 어떤 방식으로 논리회로가 동작하는지 원리를 조금이
  • 페이지 6페이지
  • 가격 2,000원
  • 등록일 2010.01.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
회로 및 시스템”, 문운당 [4] 대한전자공학회, “디지털 전자회로 및 시스템 실험”, 청문각 [5] 이행우, “디지털회로설계 실습”, 과학기술 [6] 박용수, “디지털 논리 설계”, 북두출판사 [7] 김정태 “디지털 이론 및 실험”, 차송 실험
  • 페이지 79페이지
  • 가격 12,600원
  • 등록일 2012.11.12
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
이에 상응하는 논리적의 논리화 형태(AND-OR)의 논리회로를 74LS04, 74LS08, 74LS32로 그림 4-7에 그려 넣는다. (4)실험 4 그림 4-7의 논리회로를 구성하고, 표 4-6의 입력을 가한 때의 출력을 측정하여 해당란에 기입한다. 단, 회로를 구성할 때 A, B, C의 부
  • 페이지 3페이지
  • 가격 800원
  • 등록일 2011.05.20
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
1 0100 0 0101 0 0110 1 0111 0 1000 0 1001 1 1010 X 1011 X 1100 X 1101 X 1110 X 1111 X BA DC 00 01 11 10 00 0 0 1 0 01 0 0 0 1 11 X X X X 10 0 1 X X 그림 8-6 3으로 나누어 떨어지는 BCD수에 대한 Karnaugh맵 맵으로부터 읽은 최소 SOP : X=AD+ABC+ABC AD ABC ABC 회로도 표 8-5의 실험결과 3 = 00
  • 페이지 7페이지
  • 가격 1,900원
  • 등록일 2011.12.16
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
이러한 문제를 해결하기 위해 출력을 반전시켜 X가 LOW 논리 레벨로 LED를 켜도록 사용된다. 그림 8-5의 회로는 실험순서 3에서 나온 표현식을 구현한다. 하지만 출력은 전류를 공급하기보다는 수요하도록 반전되어 있다. 5. 그림 8-5의 회로는 단
  • 페이지 4페이지
  • 가격 1,900원
  • 등록일 2011.12.16
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
top