|
ntity div1 is
port(
clk: in std_logic;
in_data: in integer range 0 to 999;
o_data: out std_logic_vector(15 downto 0);
--o_data_100: out std_logic_vector(3 downto 0);
--o_data_10: out std_logic_vector(3 downto 0);
--o_data_1: out std_logic_vector(3 downto 0);
o_cmplt: out std_logic
);
end div1;
archi
|
- 페이지 50페이지
- 가격 3,500원
- 등록일 2007.12.06
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
위해서는 앞으로도 꾸준하게 공부를 해야겠다는 생각이 들었다. 이제 실습도 종반부를 향해서 달려가고 있는데, 기말 텀 프로젝트 준비도 꾸준히 하여 성공적으로 1학기를 마쳐야 겠다. 1. Purpose
2. Problem Statement
3. Sources & Results
|
- 페이지 10페이지
- 가격 2,500원
- 등록일 2010.01.18
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
사용하다 보니 익숙하지 않아 많은 시행 차고를 겪었고 앞으로 언어 공부를 함에 있어서 많은 도움이 된 것 같다. 1.설계 사양 및 기능
2.입출력 포트
3.전체 블럭도 및 구조
4.소스코드 설명
5.시나리오
6.시뮬레이션
7.결론 및 고찰
|
- 페이지 29페이지
- 가격 1,000원
- 등록일 2014.12.23
- 파일종류 압축파일
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
VHDL 코드 작성을 실제의 장비를 통해 보다 쉽게 이해하고 배울 수 있는 좋은 기회가 된 것 같다.
3.2 참고자료
▶ DigitalDesign, J.F.Wakerly, PrenticeHall, 2006.
▶ DigitalDesign PRINCIPLS&PRACTICES, J.F.Wakerly, PrenticeHall, 2002. 1. 프로젝트 개요
2. Source Code 분
|
- 페이지 16페이지
- 가격 5,000원
- 등록일 2012.03.11
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
Digital Watch
Tool : Altera QuartusⅡ
Device family : CycloneⅡ
Device : EP2C50F672C6
Hardware : Altera DE2 Board
- 목표 -
vhdl을 활용하여 디지털 시계(Digital watch)를 설계한다.
- 기능 -
1. 일반적인 시계 기능 : 셋팅 가능.
2. 알람 기능 : 해당 설정 시간에
|
- 페이지 21페이지
- 가격 4,000원
- 등록일 2012.08.14
- 파일종류 압축파일
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|