• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 125건

ntity div1 is port( clk: in std_logic; in_data: in integer range 0 to 999; o_data: out std_logic_vector(15 downto 0); --o_data_100: out std_logic_vector(3 downto 0); --o_data_10: out std_logic_vector(3 downto 0); --o_data_1: out std_logic_vector(3 downto 0); o_cmplt: out std_logic ); end div1; archi
  • 페이지 50페이지
  • 가격 3,500원
  • 등록일 2007.12.06
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
위해서는 앞으로도 꾸준하게 공부를 해야겠다는 생각이 들었다. 이제 실습도 종반부를 향해서 달려가고 있는데, 기말 텀 프로젝트 준비도 꾸준히 하여 성공적으로 1학기를 마쳐야 겠다. 1. Purpose 2. Problem Statement 3. Sources & Results
  • 페이지 10페이지
  • 가격 2,500원
  • 등록일 2010.01.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
사용하다 보니 익숙하지 않아 많은 시행 차고를 겪었고 앞으로 언어 공부를 함에 있어서 많은 도움이 된 것 같다. 1.설계 사양 및 기능 2.입출력 포트 3.전체 블럭도 및 구조 4.소스코드 설명 5.시나리오 6.시뮬레이션 7.결론 및 고찰
  • 페이지 29페이지
  • 가격 1,000원
  • 등록일 2014.12.23
  • 파일종류 압축파일
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
VHDL 코드 작성을 실제의 장비를 통해 보다 쉽게 이해하고 배울 수 있는 좋은 기회가 된 것 같다. 3.2 참고자료 ▶ DigitalDesign, J.F.Wakerly, PrenticeHall, 2006. ▶ DigitalDesign PRINCIPLS&PRACTICES, J.F.Wakerly, PrenticeHall, 2002. 1. 프로젝트 개요 2. Source Code 분
  • 페이지 16페이지
  • 가격 5,000원
  • 등록일 2012.03.11
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
Digital Watch Tool : Altera QuartusⅡ Device family : CycloneⅡ Device : EP2C50F672C6 Hardware : Altera DE2 Board - 목표 - vhdl을 활용하여 디지털 시계(Digital watch)를 설계한다. - 기능 - 1. 일반적인 시계 기능 : 셋팅 가능. 2. 알람 기능 : 해당 설정 시간에
  • 페이지 21페이지
  • 가격 4,000원
  • 등록일 2012.08.14
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 5건

door_lock.vhd library ieee;  use ieee.std_logic_1164.all;  use ieee.std_logic_arith.all;  use ieee.std_logic_unsigned.all;   entity door_lock is port(   clk : in std_logic;     sw1,sw2 : in std_logic;     key1  : in std_logic;     clear : in std_logic;
  • 페이지 51페이지
  • 가격 3,000원
  • 발행일 2012.06.24
  • 파일종류 기타
  • 발행기관
  • 저자
LCD LIB 소스 제공. 3.1.7 배터리 [표 3-8] 배터리 사 진 내 용 ㆍPCM보호회로내장, 리튬폴리머전지 ㆍ5.6 x 24 x38mm의 소형사이즈, 무게 10g, Cell 3.7V/500mA ㆍ소형이면서 대용량 ㆍ직·병렬연결하시면 대용량으로 로봇제품에 사용하기 가장적합한 제품 3.1
  • 페이지 23페이지
  • 가격 3,000원
  • 발행일 2010.03.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
LCD나 TFT LCD보다 전력소모나, 화상도에서 우위를 차지하는 OLED의 디지털 블록을 SYNOPSYS TM 의 VHDL 및 Design Analysis를 이용하여 설계검증 하였다. 25000컬러구현을 목적으로 한 Digital controller이나 직접적으로 OLED를 통하여 검증을 하지 못하였으나,
  • 페이지 18페이지
  • 가격 7,000원
  • 발행일 2008.05.20
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
조절기 다) 인버터 3. 연구목표 및 성과 가. 태양광 발전 시스템 (1학기 1) 설계목표 2) 회로도 3) 작동모습 나. 태양광 추적 모듈설계 (2학기) 1) 설계목표 2) 회로도 3) 프로그램 소스 4) 작동 모습 Ⅲ. 결론 참고문헌
  • 페이지 47페이지
  • 가격 3,000원
  • 발행일 2010.05.31
  • 파일종류 워드(doc)
  • 발행기관
  • 저자
설계 동기와 목적 전체 시스템 블록도 및 계층도 LCD display LED/7 Segment display 하드웨어 설계 통합 단위 모듈 테스트 H/W 구현 및 외관 제작 결론 설계 동기
  • 페이지 9페이지
  • 가격 2,800원
  • 발행일 2009.07.20
  • 파일종류 피피티(ppt)
  • 발행기관
  • 저자

취업자료 1건

VHDL소스를 설계하고 컴파일하는 프로젝트였습니다. 저희는 엘리베이터의 7-SEGMENT를 전자키트에 표출하는 것으로 방향을 잡았습니다. 하지만 팀원과 저는 생소하고 처음 접하는 프로젝트이기에 설계하는 데 어려움이 많았습니다. 1. 지원
  • 가격 3,000원
  • 등록일 2023.02.10
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
top