• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 125건

반도체 직접회로설계 수업을 들으며 익힌 내용을 토대로 흔히 볼 수 있는 미니 커피 자판기를 Altera Quartus II 를 이용하여 VHDL 언어로 코딩 함으로 써 VHDL설계에 대한 이해도를 높인다. 미니 커피 자판기를 위한 코딩으로써 음식점 입구에서
  • 페이지 16페이지
  • 가격 3,000원
  • 등록일 2009.06.21
  • 파일종류 피피티(ppt)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
 ◎VHDL이란? (VHSIC Hardware Description Language:3) 1.1 VHDL의 출연 배경 1.2 VHDL을 왜 사용하는가? 1.2.1 강력함과 유연성 1.2.2 소자 독립적인 설계 1.2.3 이식성 1.2.4 벤치마크 능력 1.2.5 ASIC Migration 1.2.6 빠른 시장 출하 및 저비용 VHDL의 특징 ●
  • 페이지 5페이지
  • 가격 3,360원
  • 등록일 2013.10.22
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
설계 결과 A4 A3 A2 A1 = 1100 + B4 B3 B2 B1 = 1100 CIN = 0 COUT SUM[1] SUM[2] SUM[3] SUM[4] 11000 ▼ 진리표를 사용한 계산 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 n = 1 : =0, =0, =0 진리표의 첫 번째 줄로부터 =0이고 =0 n = 2 : =0, =0, =0 진리표의 첫
  • 페이지 6페이지
  • 가격 1,800원
  • 등록일 2014.03.27
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
설계에 앞서 동작 알고리즘을 작성한 뒤에 각각의 상태에서 동작 알고리즘을 만족하도록 코딩을 시작했습니다. 무작정 코딩을 통해 구현하는 방법보다는 정해진 순서를 통해 구하는 방법이 수월하였습니다. 상태 변수 할당 및 VHDL 문법을 통
  • 페이지 21페이지
  • 가격 10,000원
  • 등록일 2018.06.21
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
riable에서는 variable m은 signal b와 c로 즉시 바뀌는 것을 볼 수 있다. ◆ if 1. 소스 library ieee; use ieee.std_logic_1164.all; entity iff is port(a,b,c : in bit; y : out bit); end iff; architecture sample of iff is begin process(a,b,c) begin if (c = '1') then y <= a nand b ; else y <= a or b;
  • 페이지 26페이지
  • 가격 3,300원
  • 등록일 2014.01.15
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 2건

door_lock.vhd library ieee;  use ieee.std_logic_1164.all;  use ieee.std_logic_arith.all;  use ieee.std_logic_unsigned.all;   entity door_lock is port(   clk : in std_logic;     sw1,sw2 : in std_logic;     key1  : in std_logic;     clear : in std_logic;
  • 페이지 51페이지
  • 가격 3,000원
  • 발행일 2012.06.24
  • 파일종류 기타
  • 발행기관
  • 저자
VHDL 및 Design Analysis를 이용하여 설계검증 하였다. 25000컬러구현을 목적으로 한 Digital controller이나 직접적으로 OLED를 통하여 검증을 하지 못하였으나, 입력값과 결과값의 확인을 통하여 필요한 부분만을 설계하여 chip 및 처리속도에서 만족할 수
  • 페이지 18페이지
  • 가격 7,000원
  • 발행일 2008.05.20
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 2건

VHDL소스를 설계하고 컴파일하는 프로젝트였습니다. 저희는 엘리베이터의 7-SEGMENT를 전자키트에 표출하는 것으로 방향을 잡았습니다. 하지만 팀원과 저는 생소하고 처음 접하는 프로젝트이기에 설계하는 데 어려움이 많았습니다. 1. 지원
  • 가격 3,000원
  • 등록일 2023.02.10
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
VHDL 언어를 배우기 시작했을 때 생각하고 있는 어떤 회로라도 설계할 수 있는 도구를 얻은 듯한 기쁨으로 공부할 수 있었습니다. 그리고 이를 이용하여 각종 간단한 디지털회로에서 복잡한 제어용 회로들을 구현해보고 IEEE에 올라온 최신 논
  • 가격 2,000원
  • 등록일 2010.01.11
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
top