• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 2,742건

반도체 직접회로설계 수업을 들으며 익힌 내용을 토대로 흔히 볼 수 있는 미니 커피 자판기를 Altera Quartus II 를 이용하여 VHDL 언어로 코딩 함으로 써 VHDL설계에 대한 이해도를 높인다. 미니 커피 자판기를 위한 코딩으로써 음식점 입구에서
  • 페이지 16페이지
  • 가격 3,000원
  • 등록일 2009.06.21
  • 파일종류 피피티(ppt)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
riable에서는 variable m은 signal b와 c로 즉시 바뀌는 것을 볼 수 있다. ◆ if 1. 소스 library ieee; use ieee.std_logic_1164.all; entity iff is port(a,b,c : in bit; y : out bit); end iff; architecture sample of iff is begin process(a,b,c) begin if (c = '1') then y <= a nand b ; else y <= a or b;
  • 페이지 26페이지
  • 가격 3,300원
  • 등록일 2014.01.15
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
ture data_flow of comp is begin equal <= not(a(3) xor b(3) ) and not( a(2) xor b(2) ) and not( a(1) xor b(1) ) and not( a(0) xor b(0) ); end data_flow; 2.시뮬레이션 1)flow summary 2) wave form 3) time analyzer Summary 3. 블록다이어그램 ◆ comp2(process문 사용) 1.소스 library ieee; use ieee.std_log
  • 페이지 26페이지
  • 가격 3,300원
  • 등록일 2014.01.15
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
개요 이번 디지털시스템설계 수업을 들으며 익힌 내용을 토대로 자주 볼 수 있는 Vending Machine을 Altera Quartus II 를 이용하여 VHDL 언어로 코딩하고 Board로 구현함으로 VHDL설계에 대한 이해도를 높인다. 구성요소 ARTERA Quartus II 8.0 EPF10K10QC208-4 입
  • 페이지 26페이지
  • 가격 3,000원
  • 등록일 2009.01.22
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
설계도 여러 가지에 다 적용하는 것이 아니라 단순한 하드웨어 설계는 기존의 것으로 설계를 하고 복잡한 레벨일 경우에만 사용하자는 것이다. 조그마한 플립플럽을 만들때 이것을 사용한다면 아마 웃을 것이다. 참 고 문 헌 [1] VHDL 기초와
  • 페이지 36페이지
  • 가격 2,000원
  • 등록일 2007.01.30
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 13건

door_lock.vhd library ieee;  use ieee.std_logic_1164.all;  use ieee.std_logic_arith.all;  use ieee.std_logic_unsigned.all;   entity door_lock is port(   clk : in std_logic;     sw1,sw2 : in std_logic;     key1  : in std_logic;     clear : in std_logic;
  • 페이지 51페이지
  • 가격 3,000원
  • 발행일 2012.06.24
  • 파일종류 기타
  • 발행기관
  • 저자
언어 청소년의 통신언어 사용샐태와 지도방안”, 신라대학교 교육대학원 신라대학교 교육대학원 구기철(2002), “통신언어 필터링을 통신언어 필터링을 적용한 정보통신윤리 체험학습 시스템 설계 및 구현”, 부산교육대학원 석사학위논문
  • 페이지 23페이지
  • 가격 3,000원
  • 발행일 2023.08.06
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
설계한다면 그 효과는 더욱 좋아질 것이다. 모터동작의 유연함은 TH1의 값만 결정 하지 않는다. 펄스가 on상태에서 off상태로 떨어질 때 그 off상태의 시간도 모터동작의 유연성에 기여를 한다. HS-311(서보모터)의 경우 5ms~20ms의 주기로 펄스 값이
  • 페이지 7페이지
  • 가격 2,500원
  • 발행일 2010.02.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
언어 발달에 초점을 맞추어 다문화자녀의 특성이나 성향을 잘 파악하지 못한 점이 많다. 일반 가정의 장애아동의 경우로 실험을 설계하였어도 같은 결과를 얻을 수 있다고 보여 진다. 추후 연구에서는 다문화 가정의 자녀가 갖는 다문화 자녀
  • 페이지 10페이지
  • 가격 5,000원
  • 발행일 2012.11.21
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
VHDL 및 Design Analysis를 이용하여 설계검증 하였다. 25000컬러구현을 목적으로 한 Digital controller이나 직접적으로 OLED를 통하여 검증을 하지 못하였으나, 입력값과 결과값의 확인을 통하여 필요한 부분만을 설계하여 chip 및 처리속도에서 만족할 수
  • 페이지 18페이지
  • 가격 7,000원
  • 발행일 2008.05.20
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 104건

VHDL 언어를 배우기 시작했을 때 생각하고 있는 어떤 회로라도 설계할 수 있는 도구를 얻은 듯한 기쁨으로 공부할 수 있었습니다. 그리고 이를 이용하여 각종 간단한 디지털회로에서 복잡한 제어용 회로들을 구현해보고 IEEE에 올라온 최신 논
  • 가격 2,000원
  • 등록일 2010.01.11
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
설계를 통해 기른 이론적인 학습을 토대로 직접 현장에서 시공이나 사업관리를 하며 양방향의 전문성을 기르겠습니다. 또 영어뿐 아니라 파견 지역 언어를 학습하겠습니다. 호주 교환학생 당시 익혔던 타문화, 사람들과 적극적으로 융화하는
  • 가격 2,500원
  • 등록일 2018.07.19
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
) 입 사 후 포 부 (소제목) 상기 기재사항이 사실임을 확인합니다. [ 대기업 공학/기계설계직 자기소개서 우수예문 ] 1. 성장과정/가족사항 2. 학교생활/특기사항 3. 지원동기 및 입사 후 포부 [ 한글 이력서양식/자기소개서양식 ]
  • 가격 2,500원
  • 등록일 2016.03.24
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
언어를 초월하여 외국인과도 친구가 될 수 있다는 것을 알게 해준 소중한 경험입니다. (969/1000) 6. 장래계획 (요약 40이내, 상세 600이내) STX와 함께 성장하는 NEW글로벌인재 설계분야에서 최고가 되기 위해선 끊임없는 자기계발이 가장 중요하다
  • 가격 1,500원
  • 등록일 2009.05.21
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
언어특기자) 현대엔지니어링 지원자 자기소개서 샘플 1.성장과정 “조화롭게 이끄는 리더십” 2.성격의 장단점 “양향성적인 성격” 3.지원동기 “현대엔지니어링에서 통역전문가로 성장하고자 합니다.” 4.입사 후 포부
  • 가격 1,900원
  • 등록일 2013.12.23
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
top