• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 1,675건

설계하며 모드변경을 통해 2가지 Counter를 한꺼번에 구동되도록 설계할 수 있다. 모드 변경에는 'case'를 사용한다. Asynchronous reset은 clock과 상관없이 동작된다. 분주회로를 통해 clock을 느리게 하여 사용할 수 있다. (kit에서는 4MHz의 clk가 입력된
  • 페이지 13페이지
  • 가격 1,500원
  • 등록일 2014.06.23
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
설계해 보았다. 단순한 연산을 떠나 조건문을 이용하여 다양한 케이스의 연산을 설계하고 같은 입력 값을 다른 연산을 수행시켜 보았다. 쉬프트연산을 굳이 쉬프트 연산자를 이용하지 않고도 간단하게 구현할 수 있었다. · ALU를 이용해서 다
  • 페이지 19페이지
  • 가격 1,500원
  • 등록일 2014.06.23
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
설계대로 y를 내부 신호로 설정해주고 1. 가산기 2. 4비트 가산기 3. y 벡터와 m의 xor 연산 하는 단계를 더해 주는 식으로 회로를 구현하였다면 이런 부분에서 실수를 하지 않았을 것이라는 점을 배웠다. 이번 실험은 단순하였기 때문에 실수 하
  • 페이지 9페이지
  • 가격 1,000원
  • 등록일 2014.06.23
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
설계는 저번 시간의 배움을 통해 크게 어렵지 않다. 단지 입력 B 벡터와 m을 xor 연산하여 미리 선언한 B_sig에 설정하고 이를 A와 덧셈연산을 하면 될 뿐이다. 물론 제일 처음 carry in 은 m 이 1일 경우 1로 설정하여 B의 2의 보수 연산을 완료한 후에
  • 페이지 17페이지
  • 가격 1,500원
  • 등록일 2014.06.23
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
설계하여 이를 KIT를 통해 구현하였다. 다양한 케이스의 연산이 이미 입력된 입력 값을 이용하여 버튼의 조작으로 여러 연산을 수행시켰다. kit의 버튼에 따라 다양한 입력값이 인가될 수 있도록 하였으며 여러 연산이 버튼에 따라 조작될 수
  • 페이지 11페이지
  • 가격 1,500원
  • 등록일 2014.06.23
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
설계하였다. 처음에는 어려울 것 같았으나 교수님께서 앞부분의 3bit up/down counter의 소스코드를 잘 이용하라고 힌트를 주셨고, 실험 수업 이후 다른 전공 수업에서 binary/gray counter에 대한 내용을 들어서 더욱 쉽게 소스를 짤 수 있었다. 하지만
  • 페이지 6페이지
  • 가격 2,000원
  • 등록일 2010.01.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
설계하였다. 지난번의 디지털 시계 실습 때도 많이 헤맸었는데 이번 실습에서는 Vhdl Module파일이 3개나 되어서 처음에 소스코드를 작성하는데에 엄청나게 애를 먹었다. 또한, testbench를 시뮬레이션 돌렸을 때, 처음 파형에 unknown값이 있어서 계
  • 페이지 10페이지
  • 가격 2,500원
  • 등록일 2010.01.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
논리회로 수업을 위한 교육용 콘텐츠 개발, 고려대학교 정보창의교육연구소, 2009 ◈ 김정남, 경영학과 경영논리, 성균관대학교 사회과학연구소, 1982 ◈ 김명수 외 1명, 경제논리와 교육논리, 상생의 길을 찾아서, 학습자중심교과교육학회, 2012
  • 페이지 8페이지
  • 가격 6,500원
  • 등록일 2013.07.12
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
설계하였다. 여태까지 했던 실습들은 vhdl코딩만 하고 자일링스 프로그램만 돌리면 끝이었는데 이번 실습부터는 실제 킷을 사용하여 결과물을 킷에 출력도 해보고 하는 것이라 처음에는 약간 어렵고 난해하였으나, 그동안 그래도 배워온 것들
  • 페이지 8페이지
  • 가격 2,000원
  • 등록일 2010.01.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
설계 및 실습 프로그래밍언어구조론 프로그래밍 언어론 인터넷입문 인터넷 응용 및 실습 <표 5> 자격증 표시과목의 기본이수과목 또는 분야 (2000-2001년도) 참고문헌 [1] 황윤한(2000). 제7차 교육과정 운영의 효율화 방안. 광주교육대학교 초
  • 페이지 19페이지
  • 가격 2,000원
  • 등록일 2006.04.24
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
top